Commit Graph

301538 Commits

Author SHA1 Message Date
wiz a874c40b0c Comment out variable assignations that are not used.
Remove trailing whitespace.
2023-06-16 23:31:53 +00:00
rillig 95820b5370 tests/indent: format stderr output as end-of-line comments
This generates fewer error markers in syntax-aware editors.
2023-06-16 23:19:01 +00:00
rillig c4d54aec81 indent: add debug output for typedef declarations 2023-06-16 23:17:22 +00:00
rillig 398514d353 indent: fix spacing between postfix operator and left parenthesis 2023-06-16 23:07:52 +00:00
sjg 0e97aaa549 make: do not allow delete of readOnly variable.
Sometimes we mark a variable readOnly to guard against .undef
2023-06-16 22:30:35 +00:00
wiz 0fa172738f add missing 'obsolete' keyword 2023-06-16 22:18:02 +00:00
wiz b6deb17d6b mark some openssl 1.1 files as obsolete with openssl 3 2023-06-16 20:38:18 +00:00
andvar afc0aa83d8 fix typos in comments. 2023-06-16 20:01:20 +00:00
andvar ff4253031e s/curent/current/ in comment. 2023-06-16 19:40:46 +00:00
rillig 2fbaf64cd1 indent: improve heuristics for cast expressions 2023-06-16 14:26:26 +00:00
rillig 12ea422e44 indent: improve heuristics for cast expressions 2023-06-16 14:12:10 +00:00
rillig 961876df93 tests/indent: add a few code snippets from usr.bin/xlint 2023-06-16 13:43:30 +00:00
rillig 44e3c9f8ad indent: improve heuristics for casts 2023-06-16 12:55:57 +00:00
rillig 7983032213 indent: fix indentation and linebreaks in typedef declarations 2023-06-16 12:30:45 +00:00
rillig 128159d42b tests/indent: demonstrate wrong tokenization after typedef
Since 2023-06-10 06:52, which fixed the indentation of C11 _Generic
expressions.
2023-06-16 11:58:33 +00:00
rillig 8535e877f0 indent: don't force a blank line between '}' and preprocessing line 2023-06-16 11:48:32 +00:00
rillig 37a177106a indent: rename a field of the parser state
The previous name 'comment_in_first_line' was misleading, as it could
mean that there was a comment in the first line of the file.

No functional change.
2023-06-16 11:27:49 +00:00
wiz 66c213d6e4 patch: add --backup-if-mismatch and --no-backup-if-mismatch for GNU patch compatibility
These options only make sense in POSIX mode, since NetBSD's patch
has --backup enabled by default and GNU patch doesn't.

In POSIX mode, GNU patch and NetBSD patch now behave the same for these
two options.
2023-06-16 11:27:00 +00:00
rillig 13e8698351 tests/make: add test for multiple-inclusion guards 2023-06-16 09:25:13 +00:00
rillig 1d0b121ff3 tests/make: clean up tests for the ':M' and ':S' modifiers 2023-06-16 07:20:45 +00:00
rillig e4579d87bb make: remove parameter names from function declarations
No binary change.
2023-06-16 07:12:46 +00:00
rin ef6905b23e White space fixes. No binary changes. 2023-06-16 03:02:30 +00:00
rin 5b839bef49 Align function name in its declaration consistently.
No binary changes.
2023-06-16 02:48:07 +00:00
rin c8c6b0abb0 Consistently use __inline instead of inline, as done for rev. 1.119:
http://cvsweb.netbsd.org/bsdweb.cgi/src/sys/net/route.h#rev1.119
2023-06-16 02:46:23 +00:00
jschauma af9bcbd29f +CNA (CVE Numbering Authority) 2023-06-15 13:11:20 +00:00
rillig fd97c19dff indent: consolidate handling of statement continuations 2023-06-15 11:27:36 +00:00
rillig 5a6a9cd4c2 indent: rename state variable to be more accurate
No binary change.
2023-06-15 10:59:06 +00:00
rillig 14063fa4b7 indent: fix indentation of multi-line enum constant initializers 2023-06-15 10:34:12 +00:00
rillig 0982fd88d1 indent: miscellaneous cleanups, more tests for edge cases 2023-06-15 09:19:06 +00:00
hannken ae8966211d Undo unlock/relock for VOP_IOCTL().
PR kern/57450 (unplugging hung USB disk triggers panic via _vstate_assert)
2023-06-15 09:15:54 +00:00
hannken 88d3450436 Regen. 2023-06-15 09:15:13 +00:00
hannken c6f338e399 VOP_IOCTL() is a wrapper around spec_ioctl() aka Xdev_ioctl() and
protected with spec_io_enter()/spec_io_exit() so there is no need
to force specific vnode locking.

Set locking requirement to '= = =' (unchanged, locked or unlocked).

PR kern/57450 (unplugging hung USB disk triggers panic via _vstate_assert)
2023-06-15 09:13:36 +00:00
rillig f0cb29371b indent: fix alignment of multi-line declarations 2023-06-15 08:40:20 +00:00
nisimura d1f6292588 if_scx.c 2023-06-15 07:21:45 +00:00
skrll 812398fbb4 G/C file was renamed in recent commit. 2023-06-15 06:28:32 +00:00
rin 31ea34f610 Add missing include paths for libz. Not used at the moment although. 2023-06-15 03:20:58 +00:00
gutteridge fed4c0527d Remove redundant variable assignment
(Someone may want to define MAKEDEVTARGETS differently than is done at
present, but that would be a different change.)
2023-06-14 23:03:19 +00:00
rillig 398feb82dc indent: reduce number of relocations
Since all command line options modify a member of struct options, there
is no need to encode that relocation 38 times.

No functional change.
2023-06-14 21:35:01 +00:00
rillig 940b85009c indent: clean up the code, add a few tests 2023-06-14 20:46:08 +00:00
rillig cdfe968337 indent: allow more than 128 brace levels 2023-06-14 19:05:40 +00:00
rillig 856f35def8 indent: fix out-of-bounds read when reducing a statement
Since parse.c 1.73 from today. The parser symbol psym_stmt_list that was
removed in that commit acted as a stop symbol, so that psyms_reduce_stmt
would save a memory access.
2023-06-14 17:52:45 +00:00
rillig ca9074275c tests/indent: separate stdout from stderr
Due to buffering, there was no guarantee that the output would be
exactly in the same order as written in the tests.
2023-06-14 17:07:32 +00:00
rillig d0e2347d25 indent: clean up array indexing for parser symbols
With 'top' pointing to the actual top element, the array was indexed in
the closed range from 0 to top. All other arrays are indexed by the
usual half-open interval from 0 to len.

No functional change.
2023-06-14 16:14:30 +00:00
rillig 641fe74526 indent: allow more than 20 nested parentheses or brackets 2023-06-14 14:11:28 +00:00
rillig 300c5f7f19 indent: merge duplicate code 2023-06-14 13:15:30 +00:00
rillig ddbd65a192 indent: fix formatting of comment after 'switch (expr)' 2023-06-14 11:18:09 +00:00
rin c7656b4255 Make this compile again with VERBOSE_INIT_ARM. 2023-06-14 10:30:34 +00:00
rillig 557ed6ed5d indent: use correct preprocessing directive in error message 2023-06-14 10:29:52 +00:00
rin 105d60dd27 Use %zd instead of %ld for ssize_t. 2023-06-14 10:26:45 +00:00
rillig d2103f1c62 indent: allow more than 5 levels of #if/#endif 2023-06-14 10:26:00 +00:00