diff --git a/syntax/Makefile.in b/syntax/Makefile.in index 26d47de1b..efcebbf08 100644 --- a/syntax/Makefile.in +++ b/syntax/Makefile.in @@ -19,6 +19,7 @@ ALLSYNTAX = \ changelog.syntax \ diff.syntax \ diffc.syntax \ + fortran.syntax \ html.syntax \ java.syntax \ latex.syntax \ diff --git a/syntax/c.syntax b/syntax/c.syntax index d640eeb1a..d960cee4e 100644 --- a/syntax/c.syntax +++ b/syntax/c.syntax @@ -66,12 +66,12 @@ context default keyword /\* brown/22 keyword \*/ brown/22 - keyword '\\\{abtnvfr\}' brightgreen/16 + keyword '\\\{"abtnvfr\}' brightgreen/16 keyword '\\\{0123\}\{01234567\}\{01234567\}' brightgreen/16 keyword '\\'' brightgreen/16 keyword '\\\\' brightgreen/16 keyword '\\0' brightgreen/16 - keyword '\{\s!"#$%&()*+,-./0123456789:;<=>?@ABCDEFGHIJKLMNOPQRSTUVWXYZ[]^_`abcdefghijklmnopqrstuvwxyz{|}~ ¡¢£¤¥¦§¨©ª«¬­®¯°±²³´µ¶·¸¹º»¼½¾¿ÀÁÂÃÄÅÆÇÈÉÊËÌÍÎÏÐÑÒÓÔÕÖ×ØÙÚÛÜÝÞßàáâãäåæçèéêëìíîïðñòóôõö÷øùúûüýþÿ\}' brightgreen/16 + keyword '\{\s!"#$%&()\*\+,-./0123456789:;<=>?@ABCDEFGHIJKLMNOPQRSTUVWXYZ[]^_`abcdefghijklmnopqrstuvwxyz{|}~ ¡¢£¤¥¦§¨©ª«¬­®¯°±²³´µ¶·¸¹º»¼½¾¿ÀÁÂÃÄÅÆÇÈÉÊËÌÍÎÏÐÑÒÓÔÕÖ×ØÙÚÛÜÝÞßàáâãäåæçèéêëìíîïðñòóôõö÷øùúûüýþÿ\}' brightgreen/16 keyword > yellow/24 keyword < yellow/24 @@ -92,6 +92,7 @@ context default keyword , brightcyan/14 keyword : brightcyan/14 keyword ; brightmagenta/19 + keyword #define\[\t\s\]\[ABCDEFGHIJKLMNOPQRSTUVWXYZabcdefghijklmnopqrstuvwxyz_0123456789\]\[\t\s\]0x\[0123456789abcdefABCDEF\] brightred/18 context exclusive /\* \*/ brown/22 spellcheck context // \n brown/22 diff --git a/syntax/diff.syntax b/syntax/diff.syntax index 10a45281d..b5288beac 100644 --- a/syntax/diff.syntax +++ b/syntax/diff.syntax @@ -1,37 +1,26 @@ -# yawn +# Highlighting for various diffs including those generated by CVS +# Comments to Pavel Roskin context default - keyword linestart @@*@@ green/16 + keyword linestart @@*@@ cyan/16 + keyword linestart Index:\s brown/12 keyword linestart \s black/0 white/26 + keyword linestart \*\*\*\*\*\*\*\*\*\*\*\*\*\*\* cyan/16 + keyword linestart \*\*\**\*\*\*\* cyan/16 + keyword linestart ---*---- cyan/16 +context exclusive linestart @@*@@ \n brightcyan/8 +context exclusive linestart Index:\s \n black/0 white/26 context linestart diff \n white/26 red/9 context linestart --- \n brightmagenta/20 -context linestart +++ \n brightmagenta/20 -context linestart + \n brightgreen/6 +context linestart \+\+\+ \n brightmagenta/20 +context linestart \*\*\* \n brightmagenta/20 +context linestart === \n brown/12 +context linestart \+ \n brightgreen/6 +context linestart > \n brightgreen/6 context linestart - \n brightred/18 -context linestart A \n white/26 black/0 -context linestart B \n white/26 black/0 -context linestart C \n white/26 black/0 -context linestart D \n white/26 black/0 -context linestart E \n white/26 black/0 -context linestart F \n white/26 black/0 -context linestart G \n white/26 black/0 -context linestart H \n white/26 black/0 -context linestart I \n white/26 black/0 -context linestart J \n white/26 black/0 -context linestart K \n white/26 black/0 -context linestart L \n white/26 black/0 -context linestart M \n white/26 black/0 -context linestart N \n white/26 black/0 -context linestart O \n white/26 black/0 -context linestart P \n white/26 black/0 -context linestart Q \n white/26 black/0 -context linestart R \n white/26 black/0 -context linestart S \n white/26 black/0 -context linestart T \n white/26 black/0 -context linestart U \n white/26 black/0 -context linestart V \n white/26 black/0 -context linestart W \n white/26 black/0 -context linestart X \n white/26 black/0 -context linestart Y \n white/26 black/0 -context linestart Z \n white/26 black/0 - - +context linestart < \n brightred/18 +context linestart ! \n yellow/24 +context linestart ? \n brown/12 +context linestart RCS\s \n brown/12 +context linestart retrieving\s \n brown/12 +context linestart Only\s \n yellow/24 black/0 +context linestart Common\s \n yellow/24 black/0 diff --git a/syntax/fortran.syntax b/syntax/fortran.syntax new file mode 100644 index 000000000..e9cb6250c --- /dev/null +++ b/syntax/fortran.syntax @@ -0,0 +1,241 @@ +# --------------------------------------------------- +# fortran.syntax +# FORTRAN language syntax highlighting template. +# Provides syntax highlighting rules for the +# FORTRAN programming language. +# +# Author: John Theal +# Email: jtheal@renegadelinuxbox.dhs.org +# December 7, 1999 +# --------------------------------------------------- + +# Heavily modified by Paul Sheer . + +context default +# boolean and logical expressions / operators + keyword .and. brightred/orange + keyword .eq. brightred/orange + keyword .eqv. brightred/orange + keyword .false. brightred/orange + keyword .ge. brightred/orange + keyword .gt. brightred/orange + keyword .le. brightred/orange + keyword .lt. brightred/orange + keyword .ne. brightred/orange + keyword .neqv. brightred/orange + keyword .not. brightred/orange + keyword .or. brightred/orange + keyword .true. brightred/orange + keyword whole lge brightred/orange + keyword whole lgt brightred/orange + keyword whole lle brightred/orange + keyword whole llt brightred/orange + +# declarations + keyword whole block brightcyan/17 + keyword whole character brightcyan/17 + keyword whole common brightcyan/17 + keyword whole complex brightcyan/17 + keyword whole data brightcyan/17 + keyword whole dimension brightcyan/17 + keyword whole double brightcyan/17 + keyword whole external brightcyan/17 + keyword whole format brightcyan/17 + keyword whole implicit brightcyan/17 + keyword whole integer brightcyan/17 + keyword whole intrinsic brightcyan/17 + keyword whole logical brightcyan/17 + keyword whole none brightcyan/17 + keyword whole parameter brightcyan/17 + keyword whole precision brightcyan/17 + keyword whole real brightcyan/17 + +# general programming syntax + keyword whole assign brightgreen/15 + keyword whole call brightgreen/15 + keyword whole continue brightgreen/15 + keyword whole do brightgreen/15 + keyword whole else brightgreen/15 + keyword whole elseif brightgreen/15 + keyword whole end brightgreen/15 + keyword whole enddo brightgreen/15 + keyword whole endif brightgreen/15 + keyword whole for brightgreen/15 + keyword whole goto brightgreen/15 + keyword whole if brightgreen/15 + keyword whole pause brightgreen/15 + keyword whole return brightgreen/15 + keyword whole stop brightgreen/15 + keyword whole then brightgreen/15 + keyword whole to brightgreen/15 + keyword whole while brightgreen/15 + +# headers + keyword whole entry brightgreen/15 + keyword whole function yellow/21 + keyword whole program yellow/21 + keyword whole subroutine yellow/21 + +# I/O functions +# may have optional equal signs after them: 'keyword =' + keyword whole access brightmagenta/20 + keyword whole backspace brightmagenta/20 + keyword whole blank brightmagenta/20 + keyword whole close brightmagenta/20 + keyword whole direct brightmagenta/20 + keyword whole endfile brightmagenta/20 + keyword whole err brightmagenta/20 + keyword whole exist brightmagenta/20 + keyword whole file brightmagenta/20 + keyword whole fmt brightmagenta/20 + keyword whole form brightmagenta/20 + keyword whole formatted brightmagenta/20 + keyword whole inquire brightmagenta/20 + keyword whole iostat brightmagenta/20 + keyword whole name brightmagenta/20 + keyword whole named brightmagenta/20 + keyword whole nextrec brightmagenta/20 + keyword whole number brightmagenta/20 + keyword whole open brightmagenta/20 + keyword whole opened brightmagenta/20 + keyword whole print brightmagenta/20 + keyword whole read brightmagenta/20 + keyword whole rec brightmagenta/20 + keyword whole recl brightmagenta/20 + keyword whole rewind brightmagenta/20 + keyword whole sequential brightmagenta/20 + keyword whole status brightmagenta/20 + keyword whole unformatted brightmagenta/20 + keyword whole unit brightmagenta/20 + keyword whole write brightmagenta/20 + +# mathematical functions + keyword whole abs yellow/24 + keyword whole acos yellow/24 + keyword whole aimag yellow/24 + keyword whole aint yellow/24 + keyword whole alog yellow/24 + keyword whole alog10 yellow/24 + keyword whole amax0 yellow/24 + keyword whole amax1 yellow/24 + keyword whole amin0 yellow/24 + keyword whole amin1 yellow/24 + keyword whole amod yellow/24 + keyword whole anint yellow/24 + keyword whole aprime yellow/24 + keyword whole asin yellow/24 + keyword whole atan yellow/24 + keyword whole atan2 yellow/24 + keyword whole acos yellow/24 + keyword whole cabs yellow/24 + keyword whole cexp yellow/24 + keyword whole char yellow/24 + keyword whole clog yellow/24 + keyword whole cmplx yellow/24 + keyword whole conjg yellow/24 + keyword whole cos yellow/24 + keyword whole cosh yellow/24 + keyword whole ccos yellow/24 + keyword whole csin yellow/24 + keyword whole csqrt yellow/24 + keyword whole dabs yellow/24 + keyword whole dacos yellow/24 + keyword whole dasin yellow/24 + keyword whole datan yellow/24 + keyword whole datan2 yellow/24 + keyword whole dble yellow/24 + keyword whole dcos yellow/24 + keyword whole dcosh yellow/24 + keyword whole dfloat yellow/24 + keyword whole ddmim yellow/24 + keyword whole dexp yellow/24 + keyword whole dim yellow/24 + keyword whole dint yellow/24 + keyword whole dlog yellow/24 + keyword whole dlog10 yellow/24 + keyword whole dmax1 yellow/24 + keyword whole dmin1 yellow/24 + keyword whole dmod yellow/24 + keyword whole dnint yellow/24 + keyword whole dsign yellow/24 + keyword whole dsin yellow/24 + keyword whole dsinh yellow/24 + keyword whole dsqrt yellow/24 + keyword whole dtan yellow/24 + keyword whole dtanh yellow/24 + keyword whole equivalence yellow/24 + keyword whole exp yellow/24 + keyword whole float yellow/24 + keyword whole iabs yellow/24 + keyword whole ichar yellow/24 + keyword whole idim yellow/24 + keyword whole idint yellow/24 + keyword whole ifix yellow/24 + keyword whole index yellow/24 + keyword whole int yellow/24 + keyword whole isign yellow/24 + keyword whole len yellow/24 + keyword whole log yellow/24 + keyword whole log10 yellow/24 + keyword whole max yellow/24 + keyword whole max0 yellow/24 + keyword whole max1 yellow/24 + keyword whole min yellow/24 + keyword whole min0 yellow/24 + keyword whole min1 yellow/24 + keyword whole mod yellow/24 + keyword whole rand yellow/24 + keyword whole sign yellow/24 + keyword whole sin yellow/24 + keyword whole sinh yellow/24 + keyword whole sngl yellow/24 + keyword whole sqrt yellow/24 + keyword whole tan yellow/24 + keyword whole tanh yellow/24 + + keyword ( brightcyan/15 + keyword ) brightcyan/15 + keyword , brightcyan/14 + keyword \+ yellow/24 + keyword - yellow/24 + keyword \* yellow/24 + keyword / yellow/24 + keyword = yellow/24 + + keyword linestart ! black/0 yellow/24 + keyword linestart \* black/0 yellow/24 + keyword linestart c black/0 yellow/24 + keyword linestart C black/0 yellow/24 + + keyword linestart \s\s\s\s\s\* yellow/24 red/9 + + keyword linestart \s\s\s\s\s\s black/0 brightcyan/blue3 + + keyword linestart 0\{0123456789\s\}\{0123456789\s\}\{0123456789\s\}\{0123456789\s\}\s white/26 brightcyan/2 + keyword linestart 1\{0123456789\s\}\{0123456789\s\}\{0123456789\s\}\{0123456789\s\}\s white/26 brightcyan/2 + keyword linestart 2\{0123456789\s\}\{0123456789\s\}\{0123456789\s\}\{0123456789\s\}\s white/26 brightcyan/2 + keyword linestart 3\{0123456789\s\}\{0123456789\s\}\{0123456789\s\}\{0123456789\s\}\s white/26 brightcyan/2 + keyword linestart 4\{0123456789\s\}\{0123456789\s\}\{0123456789\s\}\{0123456789\s\}\s white/26 brightcyan/2 + keyword linestart 5\{0123456789\s\}\{0123456789\s\}\{0123456789\s\}\{0123456789\s\}\s white/26 brightcyan/2 + keyword linestart 6\{0123456789\s\}\{0123456789\s\}\{0123456789\s\}\{0123456789\s\}\s white/26 brightcyan/2 + keyword linestart 7\{0123456789\s\}\{0123456789\s\}\{0123456789\s\}\{0123456789\s\}\s white/26 brightcyan/2 + keyword linestart 8\{0123456789\s\}\{0123456789\s\}\{0123456789\s\}\{0123456789\s\}\s white/26 brightcyan/2 + keyword linestart 9\{0123456789\s\}\{0123456789\s\}\{0123456789\s\}\{0123456789\s\}\s white/26 brightcyan/2 + + keyword linestart \s\{0123456789\s\}\{0123456789\s\}\{0123456789\s\}\{0123456789\s\}\s white/26 brightcyan/2 + keyword linestart \s\s\{0123456789\s\}\{0123456789\s\}\{0123456789\s\}\s white/26 brightcyan/2 + keyword linestart \s\s\s\{0123456789\s\}\{0123456789\s\}\s white/26 brightcyan/2 + keyword linestart \s\s\s\s\{0123456789\s\}\s white/26 brightcyan/2 + +# comments and quotes + keyword '*' green/6 + +context exclusive linestart ! \n brown/22 + spellcheck +context exclusive linestart \* \n brown/22 + spellcheck +context exclusive linestart c \n brown/22 + spellcheck +context exclusive linestart C \n brown/22 + spellcheck + diff --git a/syntax/java.syntax b/syntax/java.syntax index fad8cc6a9..3d55f76a7 100644 --- a/syntax/java.syntax +++ b/syntax/java.syntax @@ -129,6 +129,7 @@ context default keyword , brightcyan/14 keyword : brightcyan/14 keyword ; brightmagenta/19 + keyword #define\[\t\s\]\[ABCDEFGHIJKLMNOPQRSTUVWXYZabcdefghijklmnopqrstuvwxyz_0123456789\]\[\t\s\]0x\[0123456789abcdefABCDEF\] brightred/18 context exclusive /\* \*/ brown/22 spellcheck context // \n brown/22 diff --git a/syntax/latex.syntax b/syntax/latex.syntax index f1bfe04c9..82e624c81 100644 --- a/syntax/latex.syntax +++ b/syntax/latex.syntax @@ -10,7 +10,7 @@ wholechars right abcdefghijklmnopqrstuvwxyzABCDEFGHIJKLMNOPQRSTUVWXYZ keyword } brightgreen/6 keyword { brightgreen/6 - keyword whole \\$ yellow/24 + keyword \\$ yellow/24 # type style keyword whole \\tiny yellow/24 @@ -265,6 +265,8 @@ context \\pagenumbering{ } yellow/20 context % \n brown/22 +context exclusive \\begin{verbatim} \\end{verbatim} white/13 + # mathematical formulas context $ $ brightgreen/6 context exclusive \\begin{equation} \\end{equation} brightgreen/6 diff --git a/syntax/mail.syntax b/syntax/mail.syntax index e96713386..8759835e1 100644 --- a/syntax/mail.syntax +++ b/syntax/mail.syntax @@ -2,12 +2,12 @@ context default spellcheck keyword linestart From: keyword linestart From brightred/18 -context exclusive linestart From\s \n\n lightgray/25 red/9 - keyword <*@*> white/26 +context exclusive linestart From\s \n\n white/25 red/9 + keyword <*@*> brightwhite/26 wholechars left abcdefghijklmnopqrstuvwxyzABCDEFGHIJKLMNOPQRSTUVWXYZ_01234567890.- wholechars right abcdefghijklmnopqrstuvwxyzABCDEFGHIJKLMNOPQRSTUVWXYZ_01234567890.- - keyword whole +@+ white/26 + keyword whole +@+ brightwhite/26 keyword linestart To: magenta/23 keyword linestart From: green/6 keyword linestart Subject: brightred/Orange diff --git a/syntax/makefile.syntax b/syntax/makefile.syntax index faaeabe10..f7acb2199 100644 --- a/syntax/makefile.syntax +++ b/syntax/makefile.syntax @@ -5,6 +5,7 @@ context default keyword whole linestart include magenta keyword whole linestart ifdef magenta keyword whole linestart endif magenta + keyword whole linestart if magenta keyword whole linestart ifeq magenta keyword whole linestart ifneq magenta keyword whole linestart else magenta diff --git a/syntax/python.syntax b/syntax/python.syntax index 175bc4f2c..f4896e444 100644 --- a/syntax/python.syntax +++ b/syntax/python.syntax @@ -1,6 +1,6 @@ context default keyword : brightred/18 - keyword . white/Orange + keyword . brightwhite/Orange keyword > yellow/24 keyword < yellow/24 keyword \+ yellow/24 @@ -120,102 +120,102 @@ context default keyword whole center magenta/23 keyword whole zfill magenta/23 - keyword whole __init__ white/13 - keyword whole __del__ white/13 - keyword whole __repr__ white/13 - keyword whole __str__ white/13 - keyword whole __cmp__ white/13 - keyword whole __hash__ white/13 - keyword whole __call__ white/13 - keyword whole __getattr__ white/13 - keyword whole __setattr__ white/13 - keyword whole __delattr__ white/13 - keyword whole __len__ white/13 - keyword whole __getitem__ white/13 - keyword whole __setitem__ white/13 - keyword whole __delitem__ white/13 - keyword whole __getslice__ white/13 - keyword whole __setslice__ white/13 - keyword whole __delslice__ white/13 - keyword whole __add__ white/13 - keyword whole __sub__ white/13 - keyword whole __mul__ white/13 - keyword whole __div__ white/13 - keyword whole __mod__ white/13 - keyword whole __divmod__ white/13 - keyword whole __pow__ white/13 - keyword whole __lshift__ white/13 - keyword whole __rshift__ white/13 - keyword whole __and__ white/13 - keyword whole __xor__ white/13 - keyword whole __or__ white/13 - keyword whole __neg__ white/13 - keyword whole __pos__ white/13 - keyword whole __abs__ white/13 - keyword whole __invert__ white/13 - keyword whole __nonzero__ white/13 - keyword whole __coerce__ white/13 - keyword whole __int__ white/13 - keyword whole __long__ white/13 - keyword whole __float__ white/13 - keyword whole __oct__ white/13 - keyword whole __hex__ white/13 + keyword whole __init__ lightgray/13 + keyword whole __del__ lightgray/13 + keyword whole __repr__ lightgray/13 + keyword whole __str__ lightgray/13 + keyword whole __cmp__ lightgray/13 + keyword whole __hash__ lightgray/13 + keyword whole __call__ lightgray/13 + keyword whole __getattr__ lightgray/13 + keyword whole __setattr__ lightgray/13 + keyword whole __delattr__ lightgray/13 + keyword whole __len__ lightgray/13 + keyword whole __getitem__ lightgray/13 + keyword whole __setitem__ lightgray/13 + keyword whole __delitem__ lightgray/13 + keyword whole __getslice__ lightgray/13 + keyword whole __setslice__ lightgray/13 + keyword whole __delslice__ lightgray/13 + keyword whole __add__ lightgray/13 + keyword whole __sub__ lightgray/13 + keyword whole __mul__ lightgray/13 + keyword whole __div__ lightgray/13 + keyword whole __mod__ lightgray/13 + keyword whole __divmod__ lightgray/13 + keyword whole __pow__ lightgray/13 + keyword whole __lshift__ lightgray/13 + keyword whole __rshift__ lightgray/13 + keyword whole __and__ lightgray/13 + keyword whole __xor__ lightgray/13 + keyword whole __or__ lightgray/13 + keyword whole __neg__ lightgray/13 + keyword whole __pos__ lightgray/13 + keyword whole __abs__ lightgray/13 + keyword whole __invert__ lightgray/13 + keyword whole __nonzero__ lightgray/13 + keyword whole __coerce__ lightgray/13 + keyword whole __int__ lightgray/13 + keyword whole __long__ lightgray/13 + keyword whole __float__ lightgray/13 + keyword whole __oct__ lightgray/13 + keyword whole __hex__ lightgray/13 - keyword whole __init__ white/13 - keyword whole __del__ white/13 - keyword whole __repr__ white/13 - keyword whole __str__ white/13 - keyword whole __cmp__ white/13 - keyword whole __hash__ white/13 - keyword whole __call__ white/13 - keyword whole __getattr__ white/13 - keyword whole __setattr__ white/13 - keyword whole __delattr__ white/13 - keyword whole __len__ white/13 - keyword whole __getitem__ white/13 - keyword whole __setitem__ white/13 - keyword whole __delitem__ white/13 - keyword whole __getslice__ white/13 - keyword whole __setslice__ white/13 - keyword whole __delslice__ white/13 - keyword whole __add__ white/13 - keyword whole __sub__ white/13 - keyword whole __mul__ white/13 - keyword whole __div__ white/13 - keyword whole __mod__ white/13 - keyword whole __divmod__ white/13 - keyword whole __pow__ white/13 - keyword whole __lshift__ white/13 - keyword whole __rshift__ white/13 - keyword whole __and__ white/13 - keyword whole __xor__ white/13 - keyword whole __or__ white/13 - keyword whole __neg__ white/13 - keyword whole __pos__ white/13 - keyword whole __abs__ white/13 - keyword whole __invert__ white/13 - keyword whole __nonzero__ white/13 - keyword whole __coerce__ white/13 - keyword whole __int__ white/13 - keyword whole __long__ white/13 - keyword whole __float__ white/13 - keyword whole __oct__ white/13 - keyword whole __hex__ white/13 + keyword whole __init__ lightgray/13 + keyword whole __del__ lightgray/13 + keyword whole __repr__ lightgray/13 + keyword whole __str__ lightgray/13 + keyword whole __cmp__ lightgray/13 + keyword whole __hash__ lightgray/13 + keyword whole __call__ lightgray/13 + keyword whole __getattr__ lightgray/13 + keyword whole __setattr__ lightgray/13 + keyword whole __delattr__ lightgray/13 + keyword whole __len__ lightgray/13 + keyword whole __getitem__ lightgray/13 + keyword whole __setitem__ lightgray/13 + keyword whole __delitem__ lightgray/13 + keyword whole __getslice__ lightgray/13 + keyword whole __setslice__ lightgray/13 + keyword whole __delslice__ lightgray/13 + keyword whole __add__ lightgray/13 + keyword whole __sub__ lightgray/13 + keyword whole __mul__ lightgray/13 + keyword whole __div__ lightgray/13 + keyword whole __mod__ lightgray/13 + keyword whole __divmod__ lightgray/13 + keyword whole __pow__ lightgray/13 + keyword whole __lshift__ lightgray/13 + keyword whole __rshift__ lightgray/13 + keyword whole __and__ lightgray/13 + keyword whole __xor__ lightgray/13 + keyword whole __or__ lightgray/13 + keyword whole __neg__ lightgray/13 + keyword whole __pos__ lightgray/13 + keyword whole __abs__ lightgray/13 + keyword whole __invert__ lightgray/13 + keyword whole __nonzero__ lightgray/13 + keyword whole __coerce__ lightgray/13 + keyword whole __int__ lightgray/13 + keyword whole __long__ lightgray/13 + keyword whole __float__ lightgray/13 + keyword whole __oct__ lightgray/13 + keyword whole __hex__ lightgray/13 - keyword whole __radd__ white/13 - keyword whole __rsub__ white/13 - keyword whole __rmul__ white/13 - keyword whole __rdiv__ white/13 - keyword whole __rmod__ white/13 - keyword whole __rdivmod__ white/13 - keyword whole __rpow__ white/13 - keyword whole __rlshift__ white/13 - keyword whole __rrshift__ white/13 - keyword whole __rand__ white/13 - keyword whole __rxor__ white/13 - keyword whole __ror__ white/13 + keyword whole __radd__ lightgray/13 + keyword whole __rsub__ lightgray/13 + keyword whole __rmul__ lightgray/13 + keyword whole __rdiv__ lightgray/13 + keyword whole __rmod__ lightgray/13 + keyword whole __rdivmod__ lightgray/13 + keyword whole __rpow__ lightgray/13 + keyword whole __rlshift__ lightgray/13 + keyword whole __rrshift__ lightgray/13 + keyword whole __rand__ lightgray/13 + keyword whole __rxor__ lightgray/13 + keyword whole __ror__ lightgray/13 - keyword whole __*__ brightred/18 + keyword whole __+__ brightred/18 context """ """ brown/22 spellcheck diff --git a/syntax/sh.syntax b/syntax/sh.syntax index 9e71fafc4..90bdff080 100644 --- a/syntax/sh.syntax +++ b/syntax/sh.syntax @@ -45,7 +45,7 @@ context default keyword $ brightgreen/16 - keyword wholeleft linestart function* brightblue/11 + keyword wholeleft linestart function*() brightblue/11 wholechars right abcdefghijklmnopqrstuvwxyzABCDEFGHIJKLMNOPQRSTUVWXYZ0123456789-._ wholechars left abcdefghijklmnopqrstuvwxyzABCDEFGHIJKLMNOPQRSTUVWXYZ0123456789-._ @@ -175,6 +175,7 @@ context " " green/6 context exclusive ` ` white/26 black/0 keyword '*' green/6 keyword " green/6 + keyword \\` green/6 keyword ; brightcyan/17 keyword $(*) brightgreen/16 keyword ${*} brightgreen/16 diff --git a/syntax/swig.syntax b/syntax/swig.syntax index 53be752d9..30d693bee 100644 --- a/syntax/swig.syntax +++ b/syntax/swig.syntax @@ -1,5 +1,4 @@ context default - keyword whole linestart %addmethods red/orange keyword whole linestart %alpha red/orange keyword whole linestart %apply red/orange @@ -106,8 +105,13 @@ context default keyword /\* brown/22 keyword \*/ brown/22 - keyword '\s' brightgreen/16 - keyword '+' brightgreen/16 + keyword '\\\{"abtnvfr\}' brightgreen/16 + keyword '\\\{0123\}\{01234567\}\{01234567\}' brightgreen/16 + keyword '\\'' brightgreen/16 + keyword '\\\\' brightgreen/16 + keyword '\\0' brightgreen/16 + keyword '\{\s!"#$%&()\*\+,-./0123456789:;<=>?@ABCDEFGHIJKLMNOPQRSTUVWXYZ[]^_`abcdefghijklmnopqrstuvwxyz{|}~ ¡¢£¤¥¦§¨©ª«¬­®¯°±²³´µ¶·¸¹º»¼½¾¿ÀÁÂÃÄÅÆÇÈÉÊËÌÍÎÏÐÑÒÓÔÕÖ×ØÙÚÛÜÝÞßàáâãäåæçèéêëìíîïðñòóôõö÷øùúûüýþÿ\}' brightgreen/16 + keyword > yellow/24 keyword < yellow/24 keyword \+ yellow/24 @@ -127,6 +131,7 @@ context default keyword , brightcyan/14 keyword : brightcyan/14 keyword ; brightmagenta/19 + keyword #define\[\t\s\]\[ABCDEFGHIJKLMNOPQRSTUVWXYZabcdefghijklmnopqrstuvwxyz_0123456789\]\[\t\s\]0x\[0123456789abcdefABCDEF\] brightred/18 context exclusive /\* \*/ brown/22 spellcheck context // \n brown/22