mirror of
https://github.com/MidnightCommander/mc
synced 2024-12-22 12:32:40 +03:00
Syntax files normalization
* tabs are replaced with spaces * trailing spaces are removed
This commit is contained in:
parent
33b7a382ea
commit
8c0cfebbc1
@ -3,68 +3,68 @@
|
||||
caseinsensitive
|
||||
|
||||
context default
|
||||
keyword whole abs yellow
|
||||
keyword whole delta yellow
|
||||
keyword whole is yellow
|
||||
keyword whole loop yellow
|
||||
keyword whole of yellow
|
||||
keyword whole range yellow
|
||||
keyword whole return yellow
|
||||
keyword whole use yellow
|
||||
keyword whole with yellow
|
||||
keyword whole abs yellow
|
||||
keyword whole delta yellow
|
||||
keyword whole is yellow
|
||||
keyword whole loop yellow
|
||||
keyword whole of yellow
|
||||
keyword whole range yellow
|
||||
keyword whole return yellow
|
||||
keyword whole use yellow
|
||||
keyword whole with yellow
|
||||
|
||||
# prevents - keyword from interfering with comment
|
||||
keyword -- brown
|
||||
keyword -- brown
|
||||
|
||||
keyword # brightgreen
|
||||
keyword ' brightgreen
|
||||
keyword ( brightgreen
|
||||
keyword ) brightgreen
|
||||
keyword \*\* brightgreen
|
||||
keyword \* brightgreen
|
||||
keyword \+ brightgreen
|
||||
keyword , brightgreen
|
||||
keyword - brightgreen
|
||||
keyword . brightgreen
|
||||
keyword .. brightgreen
|
||||
keyword /= brightgreen
|
||||
keyword / brightgreen
|
||||
keyword := brightgreen
|
||||
keyword : brightgreen
|
||||
keyword ; brightgreen
|
||||
keyword => brightgreen
|
||||
keyword = brightgreen
|
||||
keyword # brightgreen
|
||||
keyword ' brightgreen
|
||||
keyword ( brightgreen
|
||||
keyword ) brightgreen
|
||||
keyword \*\* brightgreen
|
||||
keyword \* brightgreen
|
||||
keyword \+ brightgreen
|
||||
keyword , brightgreen
|
||||
keyword - brightgreen
|
||||
keyword . brightgreen
|
||||
keyword .. brightgreen
|
||||
keyword /= brightgreen
|
||||
keyword / brightgreen
|
||||
keyword := brightgreen
|
||||
keyword : brightgreen
|
||||
keyword ; brightgreen
|
||||
keyword => brightgreen
|
||||
keyword = brightgreen
|
||||
|
||||
keyword whole begin brightred
|
||||
keyword whole case brightred
|
||||
keyword whole else brightred
|
||||
keyword whole elsif brightred
|
||||
keyword whole end brightred
|
||||
keyword whole exit brightred
|
||||
keyword whole for brightred
|
||||
keyword whole if brightred
|
||||
keyword whole then brightred
|
||||
keyword whole when brightred
|
||||
keyword whole while brightred
|
||||
keyword whole begin brightred
|
||||
keyword whole case brightred
|
||||
keyword whole else brightred
|
||||
keyword whole elsif brightred
|
||||
keyword whole end brightred
|
||||
keyword whole exit brightred
|
||||
keyword whole for brightred
|
||||
keyword whole if brightred
|
||||
keyword whole then brightred
|
||||
keyword whole when brightred
|
||||
keyword whole while brightred
|
||||
|
||||
keyword whole array cyan
|
||||
keyword whole boolean cyan
|
||||
keyword whole character cyan
|
||||
keyword whole fixed cyan
|
||||
keyword whole float cyan
|
||||
keyword whole integer cyan
|
||||
keyword whole string cyan
|
||||
keyword whole array cyan
|
||||
keyword whole boolean cyan
|
||||
keyword whole character cyan
|
||||
keyword whole fixed cyan
|
||||
keyword whole float cyan
|
||||
keyword whole integer cyan
|
||||
keyword whole string cyan
|
||||
|
||||
keyword whole goto brightcyan
|
||||
keyword whole new_line brightcyan
|
||||
keyword whole subtype brightcyan
|
||||
keyword whole type brightcyan
|
||||
keyword whole goto brightcyan
|
||||
keyword whole new_line brightcyan
|
||||
keyword whole subtype brightcyan
|
||||
keyword whole type brightcyan
|
||||
|
||||
keyword whole function magenta
|
||||
keyword whole new magenta
|
||||
keyword whole package magenta
|
||||
keyword whole procedure magenta
|
||||
keyword whole function magenta
|
||||
keyword whole new magenta
|
||||
keyword whole package magenta
|
||||
keyword whole procedure magenta
|
||||
|
||||
context exclusive -- \n brown
|
||||
context exclusive -- \n brown
|
||||
|
||||
context " " green
|
||||
context " " green
|
||||
|
@ -4,46 +4,46 @@
|
||||
# http://www.juancri.com
|
||||
|
||||
context default
|
||||
keyword "*" lightgray
|
||||
keyword '*' lightgray
|
||||
keyword "*" lightgray
|
||||
keyword '*' lightgray
|
||||
|
||||
spellcheck
|
||||
spellcheck
|
||||
|
||||
context <!-- --> brightgreen
|
||||
spellcheck
|
||||
spellcheck
|
||||
|
||||
context <! > yellow
|
||||
keyword '+' brightcyan
|
||||
keyword "+" brightcyan
|
||||
keyword \( lightgray
|
||||
keyword \) lightgray
|
||||
keyword \* lightgray
|
||||
keyword \? lightgray
|
||||
keyword \+ lightgray
|
||||
keyword \| lightgray
|
||||
keyword \, lightgray
|
||||
spellcheck
|
||||
keyword '+' brightcyan
|
||||
keyword "+" brightcyan
|
||||
keyword \( lightgray
|
||||
keyword \) lightgray
|
||||
keyword \* lightgray
|
||||
keyword \? lightgray
|
||||
keyword \+ lightgray
|
||||
keyword \| lightgray
|
||||
keyword \, lightgray
|
||||
spellcheck
|
||||
|
||||
context <% %> brightgreen
|
||||
keyword Application white
|
||||
keyword Assembly white
|
||||
keyword Control white
|
||||
keyword Implements white
|
||||
keyword Import white
|
||||
keyword Inherits white
|
||||
keyword OutputCache white
|
||||
keyword Page white
|
||||
keyword Reference white
|
||||
keyword Register white
|
||||
keyword WebService white
|
||||
keyword \s+\= yellow
|
||||
keyword '*' brightcyan
|
||||
keyword "*" brightcyan
|
||||
spellcheck
|
||||
keyword Application white
|
||||
keyword Assembly white
|
||||
keyword Control white
|
||||
keyword Implements white
|
||||
keyword Import white
|
||||
keyword Inherits white
|
||||
keyword OutputCache white
|
||||
keyword Page white
|
||||
keyword Reference white
|
||||
keyword Register white
|
||||
keyword WebService white
|
||||
keyword \s+\= yellow
|
||||
keyword '*' brightcyan
|
||||
keyword "*" brightcyan
|
||||
spellcheck
|
||||
|
||||
context < > white
|
||||
keyword \s+\= yellow
|
||||
keyword "server" brightgreen
|
||||
keyword '*' brightcyan
|
||||
keyword "*" brightcyan
|
||||
spellcheck
|
||||
keyword \s+\= yellow
|
||||
keyword "server" brightgreen
|
||||
keyword '*' brightcyan
|
||||
keyword "*" brightcyan
|
||||
spellcheck
|
||||
|
@ -16,7 +16,7 @@ context default lightgray
|
||||
keyword whole GLOBAL white
|
||||
keyword whole COMMON white
|
||||
keyword whole CPU white
|
||||
|
||||
|
||||
# NASM, WASM, TASM, MASM and common modifiers
|
||||
keyword whole ALIGN white
|
||||
keyword whole ALIGNB white
|
||||
|
@ -133,7 +133,7 @@ context default
|
||||
keyword whole function brightmagenta
|
||||
# Hex constant:
|
||||
keyword 0\{xX\}\[abcdefghijklmnopqrstuvwxyzABCDEFGHIJKLMNOPQRSTUVWXYZ0123456789\] magenta/6
|
||||
# Tab: \t
|
||||
# Tab: \t
|
||||
# keyword linestart \t lightgray/13 blue/6
|
||||
|
||||
# Sed:
|
||||
|
@ -1,7 +1,7 @@
|
||||
# Modified from C highlight file by Juan C. Olivares <juancri@juancri.com>
|
||||
|
||||
context default
|
||||
|
||||
|
||||
keyword whole abstract yellow
|
||||
keyword whole as yellow
|
||||
keyword whole base yellow
|
||||
@ -86,7 +86,7 @@ context default
|
||||
keyword whole while yellow
|
||||
keyword whole yield yellow
|
||||
|
||||
|
||||
|
||||
keyword whole linestart \{\s\t\}\[\s\t\]#*\n brightmagenta
|
||||
|
||||
keyword /\* brown
|
||||
|
@ -69,463 +69,463 @@ context default
|
||||
keyword \:\:up-arrow white
|
||||
keyword \:\:up-button white
|
||||
|
||||
keyword \:active brightmagenta
|
||||
keyword \:after brightmagenta
|
||||
keyword \:visited brightmagenta
|
||||
keyword \:before brightmagenta
|
||||
keyword \:first-child brightmagenta
|
||||
keyword \:first-letter brightmagenta
|
||||
keyword \:first-line brightmagenta
|
||||
keyword \:focus brightmagenta
|
||||
keyword \:lang brightmagenta
|
||||
keyword \:link brightmagenta
|
||||
keyword \:active brightmagenta
|
||||
keyword \:adjoins-item brightmagenta
|
||||
keyword \:alternate brightmagenta
|
||||
keyword \:bottom brightmagenta
|
||||
keyword \:checked brightmagenta
|
||||
keyword \:closable brightmagenta
|
||||
keyword \:closed brightmagenta
|
||||
keyword \:default brightmagenta
|
||||
keyword \:disabled brightmagenta
|
||||
keyword \:editable brightmagenta
|
||||
keyword \:edit-focus brightmagenta
|
||||
keyword \:enabled brightmagenta
|
||||
keyword \:exclusive brightmagenta
|
||||
keyword \:first brightmagenta
|
||||
keyword \:flat brightmagenta
|
||||
keyword \:floatable brightmagenta
|
||||
keyword \:focus brightmagenta
|
||||
keyword \:has-children brightmagenta
|
||||
keyword \:has-siblings brightmagenta
|
||||
keyword \:horizontal brightmagenta
|
||||
keyword \:hover brightmagenta
|
||||
keyword \:indeterminate brightmagenta
|
||||
keyword \:last brightmagenta
|
||||
keyword \:left brightmagenta
|
||||
keyword \:maximized brightmagenta
|
||||
keyword \:middle brightmagenta
|
||||
keyword \:minimized brightmagenta
|
||||
keyword \:movable brightmagenta
|
||||
keyword \:no-frame brightmagenta
|
||||
keyword \:non-exclusive brightmagenta
|
||||
keyword \:off brightmagenta
|
||||
keyword \:on brightmagenta
|
||||
keyword \:only-one brightmagenta
|
||||
keyword \:open brightmagenta
|
||||
keyword \:next-selected brightmagenta
|
||||
keyword \:pressed brightmagenta
|
||||
keyword \:active brightmagenta
|
||||
keyword \:after brightmagenta
|
||||
keyword \:visited brightmagenta
|
||||
keyword \:before brightmagenta
|
||||
keyword \:first-child brightmagenta
|
||||
keyword \:first-letter brightmagenta
|
||||
keyword \:first-line brightmagenta
|
||||
keyword \:focus brightmagenta
|
||||
keyword \:lang brightmagenta
|
||||
keyword \:link brightmagenta
|
||||
keyword \:active brightmagenta
|
||||
keyword \:adjoins-item brightmagenta
|
||||
keyword \:alternate brightmagenta
|
||||
keyword \:bottom brightmagenta
|
||||
keyword \:checked brightmagenta
|
||||
keyword \:closable brightmagenta
|
||||
keyword \:closed brightmagenta
|
||||
keyword \:default brightmagenta
|
||||
keyword \:disabled brightmagenta
|
||||
keyword \:editable brightmagenta
|
||||
keyword \:edit-focus brightmagenta
|
||||
keyword \:enabled brightmagenta
|
||||
keyword \:exclusive brightmagenta
|
||||
keyword \:first brightmagenta
|
||||
keyword \:flat brightmagenta
|
||||
keyword \:floatable brightmagenta
|
||||
keyword \:focus brightmagenta
|
||||
keyword \:has-children brightmagenta
|
||||
keyword \:has-siblings brightmagenta
|
||||
keyword \:horizontal brightmagenta
|
||||
keyword \:hover brightmagenta
|
||||
keyword \:indeterminate brightmagenta
|
||||
keyword \:last brightmagenta
|
||||
keyword \:left brightmagenta
|
||||
keyword \:maximized brightmagenta
|
||||
keyword \:middle brightmagenta
|
||||
keyword \:minimized brightmagenta
|
||||
keyword \:movable brightmagenta
|
||||
keyword \:no-frame brightmagenta
|
||||
keyword \:non-exclusive brightmagenta
|
||||
keyword \:off brightmagenta
|
||||
keyword \:on brightmagenta
|
||||
keyword \:only-one brightmagenta
|
||||
keyword \:open brightmagenta
|
||||
keyword \:next-selected brightmagenta
|
||||
keyword \:pressed brightmagenta
|
||||
keyword \:previous-selected brightmagenta
|
||||
keyword \:read-only brightmagenta
|
||||
keyword \:right brightmagenta
|
||||
keyword \:selected brightmagenta
|
||||
keyword \:top brightmagenta
|
||||
keyword \:unchecked brightmagenta
|
||||
keyword \:vertical brightmagenta
|
||||
keyword \:window brightmagenta
|
||||
keyword \:read-only brightmagenta
|
||||
keyword \:right brightmagenta
|
||||
keyword \:selected brightmagenta
|
||||
keyword \:top brightmagenta
|
||||
keyword \:unchecked brightmagenta
|
||||
keyword \:vertical brightmagenta
|
||||
keyword \:window brightmagenta
|
||||
|
||||
keyword whole background-attachment lightgray
|
||||
keyword whole background-color lightgray
|
||||
keyword whole background-image lightgray
|
||||
keyword whole background-position lightgray
|
||||
keyword whole background-repeat lightgray
|
||||
keyword whole background lightgray
|
||||
keyword whole bidi-override lightgray
|
||||
keyword whole border-bottom lightgray
|
||||
keyword whole border-bottom-color lightgray
|
||||
keyword whole border-bottom-style lightgray
|
||||
keyword whole border-bottom-width lightgray
|
||||
keyword whole border-collapse lightgray
|
||||
keyword whole border-color lightgray
|
||||
keyword whole border-left lightgray
|
||||
keyword whole border-left-color lightgray
|
||||
keyword whole border-left-style lightgray
|
||||
keyword whole border-left-width lightgray
|
||||
keyword whole border-right lightgray
|
||||
keyword whole border-right-color lightgray
|
||||
keyword whole border-right-style lightgray
|
||||
keyword whole border-right-width lightgray
|
||||
keyword whole border-spacing lightgray
|
||||
keyword whole border-style lightgray
|
||||
keyword whole border-top lightgray
|
||||
keyword whole border-top-color lightgray
|
||||
keyword whole border-top-style lightgray
|
||||
keyword whole border-top-width lightgray
|
||||
keyword whole border-width lightgray
|
||||
keyword whole border lightgray
|
||||
keyword whole bottom lightgray
|
||||
keyword whole caption-side lightgray
|
||||
keyword whole clear lightgray
|
||||
keyword whole clip lightgray
|
||||
keyword whole color lightgray
|
||||
keyword whole content lightgray
|
||||
keyword whole counter-increment lightgray
|
||||
keyword whole cursor lightgray
|
||||
keyword whole direction lightgray
|
||||
keyword whole display lightgray
|
||||
keyword whole empty-cells lightgray
|
||||
keyword whole fixed lightgray
|
||||
keyword whole float lightgray
|
||||
keyword whole font-size-adjust lightgray
|
||||
keyword whole font-stretch lightgray
|
||||
keyword whole font-family lightgray
|
||||
keyword whole font-size lightgray
|
||||
keyword whole font-family lightgray
|
||||
keyword whole font-style lightgray
|
||||
keyword whole font-variant lightgray
|
||||
keyword whole font-weight lightgray
|
||||
keyword whole font lightgray
|
||||
keyword whole generic-family lightgray
|
||||
keyword whole height lightgray
|
||||
keyword whole identifier lightgray
|
||||
keyword whole image lightgray
|
||||
keyword whole justify lightgray
|
||||
keyword whole left lightgray
|
||||
keyword whole length lightgray
|
||||
keyword whole letter-spacing lightgray
|
||||
keyword whole line-height lightgray
|
||||
keyword whole list-style-image lightgray
|
||||
keyword whole list-style-position lightgray
|
||||
keyword whole list-style-type lightgray
|
||||
keyword whole list-style lightgray
|
||||
keyword whole margin-left lightgray
|
||||
keyword whole margin-top lightgray
|
||||
keyword whole margin lightgray
|
||||
keyword whole marker-offset lightgray
|
||||
keyword whole max-height lightgray
|
||||
keyword whole max-width lightgray
|
||||
keyword whole min-height lightgray
|
||||
keyword whole min-width lightgray
|
||||
keyword whole nowrap lightgray
|
||||
keyword whole outline-color lightgray
|
||||
keyword whole outline-style lightgray
|
||||
keyword whole outline-width lightgray
|
||||
keyword whole outline lightgray
|
||||
keyword whole padding-left lightgray
|
||||
keyword whole padding-top lightgray
|
||||
keyword whole padding lightgray
|
||||
keyword whole position lightgray
|
||||
keyword whole quotes lightgray
|
||||
keyword whole right lightgray
|
||||
keyword whole subcontrol-origin lightgray
|
||||
keyword whole subcontrol-position lightgray
|
||||
keyword whole table-caption lightgray
|
||||
keyword whole table-layout lightgray
|
||||
keyword whole text-align lightgray
|
||||
keyword whole text-decoration lightgray
|
||||
keyword whole text-indent lightgray
|
||||
keyword whole text-shadow lightgray
|
||||
keyword whole text-transform lightgray
|
||||
keyword whole top lightgray
|
||||
keyword whole unicode-bidi lightgray
|
||||
keyword whole vertical-align lightgray
|
||||
keyword whole visibility lightgray
|
||||
keyword whole white-space lightgray
|
||||
keyword whole width lightgray
|
||||
keyword whole word-spacing lightgray
|
||||
keyword whole background-attachment lightgray
|
||||
keyword whole background-color lightgray
|
||||
keyword whole background-image lightgray
|
||||
keyword whole background-position lightgray
|
||||
keyword whole background-repeat lightgray
|
||||
keyword whole background lightgray
|
||||
keyword whole bidi-override lightgray
|
||||
keyword whole border-bottom lightgray
|
||||
keyword whole border-bottom-color lightgray
|
||||
keyword whole border-bottom-style lightgray
|
||||
keyword whole border-bottom-width lightgray
|
||||
keyword whole border-collapse lightgray
|
||||
keyword whole border-color lightgray
|
||||
keyword whole border-left lightgray
|
||||
keyword whole border-left-color lightgray
|
||||
keyword whole border-left-style lightgray
|
||||
keyword whole border-left-width lightgray
|
||||
keyword whole border-right lightgray
|
||||
keyword whole border-right-color lightgray
|
||||
keyword whole border-right-style lightgray
|
||||
keyword whole border-right-width lightgray
|
||||
keyword whole border-spacing lightgray
|
||||
keyword whole border-style lightgray
|
||||
keyword whole border-top lightgray
|
||||
keyword whole border-top-color lightgray
|
||||
keyword whole border-top-style lightgray
|
||||
keyword whole border-top-width lightgray
|
||||
keyword whole border-width lightgray
|
||||
keyword whole border lightgray
|
||||
keyword whole bottom lightgray
|
||||
keyword whole caption-side lightgray
|
||||
keyword whole clear lightgray
|
||||
keyword whole clip lightgray
|
||||
keyword whole color lightgray
|
||||
keyword whole content lightgray
|
||||
keyword whole counter-increment lightgray
|
||||
keyword whole cursor lightgray
|
||||
keyword whole direction lightgray
|
||||
keyword whole display lightgray
|
||||
keyword whole empty-cells lightgray
|
||||
keyword whole fixed lightgray
|
||||
keyword whole float lightgray
|
||||
keyword whole font-size-adjust lightgray
|
||||
keyword whole font-stretch lightgray
|
||||
keyword whole font-family lightgray
|
||||
keyword whole font-size lightgray
|
||||
keyword whole font-family lightgray
|
||||
keyword whole font-style lightgray
|
||||
keyword whole font-variant lightgray
|
||||
keyword whole font-weight lightgray
|
||||
keyword whole font lightgray
|
||||
keyword whole generic-family lightgray
|
||||
keyword whole height lightgray
|
||||
keyword whole identifier lightgray
|
||||
keyword whole image lightgray
|
||||
keyword whole justify lightgray
|
||||
keyword whole left lightgray
|
||||
keyword whole length lightgray
|
||||
keyword whole letter-spacing lightgray
|
||||
keyword whole line-height lightgray
|
||||
keyword whole list-style-image lightgray
|
||||
keyword whole list-style-position lightgray
|
||||
keyword whole list-style-type lightgray
|
||||
keyword whole list-style lightgray
|
||||
keyword whole margin-left lightgray
|
||||
keyword whole margin-top lightgray
|
||||
keyword whole margin lightgray
|
||||
keyword whole marker-offset lightgray
|
||||
keyword whole max-height lightgray
|
||||
keyword whole max-width lightgray
|
||||
keyword whole min-height lightgray
|
||||
keyword whole min-width lightgray
|
||||
keyword whole nowrap lightgray
|
||||
keyword whole outline-color lightgray
|
||||
keyword whole outline-style lightgray
|
||||
keyword whole outline-width lightgray
|
||||
keyword whole outline lightgray
|
||||
keyword whole padding-left lightgray
|
||||
keyword whole padding-top lightgray
|
||||
keyword whole padding lightgray
|
||||
keyword whole position lightgray
|
||||
keyword whole quotes lightgray
|
||||
keyword whole right lightgray
|
||||
keyword whole subcontrol-origin lightgray
|
||||
keyword whole subcontrol-position lightgray
|
||||
keyword whole table-caption lightgray
|
||||
keyword whole table-layout lightgray
|
||||
keyword whole text-align lightgray
|
||||
keyword whole text-decoration lightgray
|
||||
keyword whole text-indent lightgray
|
||||
keyword whole text-shadow lightgray
|
||||
keyword whole text-transform lightgray
|
||||
keyword whole top lightgray
|
||||
keyword whole unicode-bidi lightgray
|
||||
keyword whole vertical-align lightgray
|
||||
keyword whole visibility lightgray
|
||||
keyword whole white-space lightgray
|
||||
keyword whole width lightgray
|
||||
keyword whole word-spacing lightgray
|
||||
|
||||
keyword whole qconicalgradient brightgreen
|
||||
keyword whole qlineargradient brightgreen
|
||||
keyword whole qradialgradient brightgreen
|
||||
keyword whole qconicalgradient brightgreen
|
||||
keyword whole qlineargradient brightgreen
|
||||
keyword whole qradialgradient brightgreen
|
||||
|
||||
keyword whole left brightgreen
|
||||
keyword whole right brightgreen
|
||||
keyword whole center brightgreen
|
||||
keyword whole top brightgreen
|
||||
keyword whole bottom brightgreen
|
||||
keyword whole bold brightgreen
|
||||
keyword whole small brightgreen
|
||||
keyword whole smaller brightgreen
|
||||
keyword whole italic brightgreen
|
||||
keyword whole bolder brightgreen
|
||||
keyword whole underline brightgreen
|
||||
keyword whole absolute brightgreen
|
||||
keyword whole left brightgreen
|
||||
keyword whole right brightgreen
|
||||
keyword whole center brightgreen
|
||||
keyword whole top brightgreen
|
||||
keyword whole bottom brightgreen
|
||||
keyword whole bold brightgreen
|
||||
keyword whole small brightgreen
|
||||
keyword whole smaller brightgreen
|
||||
keyword whole italic brightgreen
|
||||
keyword whole bolder brightgreen
|
||||
keyword whole underline brightgreen
|
||||
keyword whole absolute brightgreen
|
||||
|
||||
keyword whole none brightgreen
|
||||
keyword whole auto brightgreen
|
||||
keyword whole blink brightgreen
|
||||
keyword whole hide brightgreen
|
||||
keyword whole none brightgreen
|
||||
keyword whole auto brightgreen
|
||||
keyword whole blink brightgreen
|
||||
keyword whole hide brightgreen
|
||||
|
||||
keyword whole thin brightgreen
|
||||
keyword whole medium brightgreen
|
||||
keyword whole thick brightgreen
|
||||
keyword whole thin brightgreen
|
||||
keyword whole medium brightgreen
|
||||
keyword whole thick brightgreen
|
||||
|
||||
keyword whole disc brightgreen
|
||||
keyword whole circle brightgreen
|
||||
keyword whole square brightgreen
|
||||
keyword whole decimal brightgreen
|
||||
keyword whole decimal-leading-zero brightgreen
|
||||
keyword whole lower-roman brightgreen
|
||||
keyword whole upper-roman brightgreen
|
||||
keyword whole lower-alpha brightgreen
|
||||
keyword whole upper-alpha brightgreen
|
||||
keyword whole lower-greek brightgreen
|
||||
keyword whole lower-latin brightgreen
|
||||
keyword whole upper-latin brightgreen
|
||||
keyword whole hebrew brightgreen
|
||||
keyword whole armenian brightgreen
|
||||
keyword whole georgian brightgreen
|
||||
keyword whole cjk-ideographic brightgreen
|
||||
keyword whole hiragana brightgreen
|
||||
keyword whole katakana brightgreen
|
||||
keyword whole hiragana-iroha brightgreen
|
||||
keyword whole katakana-iroha brightgreen
|
||||
keyword whole disc brightgreen
|
||||
keyword whole circle brightgreen
|
||||
keyword whole square brightgreen
|
||||
keyword whole decimal brightgreen
|
||||
keyword whole decimal-leading-zero brightgreen
|
||||
keyword whole lower-roman brightgreen
|
||||
keyword whole upper-roman brightgreen
|
||||
keyword whole lower-alpha brightgreen
|
||||
keyword whole upper-alpha brightgreen
|
||||
keyword whole lower-greek brightgreen
|
||||
keyword whole lower-latin brightgreen
|
||||
keyword whole upper-latin brightgreen
|
||||
keyword whole hebrew brightgreen
|
||||
keyword whole armenian brightgreen
|
||||
keyword whole georgian brightgreen
|
||||
keyword whole cjk-ideographic brightgreen
|
||||
keyword whole hiragana brightgreen
|
||||
keyword whole katakana brightgreen
|
||||
keyword whole hiragana-iroha brightgreen
|
||||
keyword whole katakana-iroha brightgreen
|
||||
|
||||
keyword whole invert brightgreen
|
||||
keyword whole oblique brightgreen
|
||||
keyword whole no-close-quote brightgreen
|
||||
keyword whole repeat-x brightgreen
|
||||
keyword whole repeat-y brightgreen
|
||||
keyword whole repeat brightgreen
|
||||
keyword whole no-repeat brightgreen
|
||||
keyword whole small-caps brightgreen
|
||||
keyword whole transparent brightgreen
|
||||
keyword whole invert brightgreen
|
||||
keyword whole oblique brightgreen
|
||||
keyword whole no-close-quote brightgreen
|
||||
keyword whole repeat-x brightgreen
|
||||
keyword whole repeat-y brightgreen
|
||||
keyword whole repeat brightgreen
|
||||
keyword whole no-repeat brightgreen
|
||||
keyword whole small-caps brightgreen
|
||||
keyword whole transparent brightgreen
|
||||
|
||||
keyword whole visible brightgreen
|
||||
keyword whole hidden brightgreen
|
||||
keyword whole dotted brightgreen
|
||||
keyword whole visible brightgreen
|
||||
keyword whole hidden brightgreen
|
||||
keyword whole dotted brightgreen
|
||||
|
||||
keyword whole dashed brightgreen
|
||||
keyword whole solid brightgreen
|
||||
keyword whole double brightgreen
|
||||
keyword whole groove brightgreen
|
||||
keyword whole ridge brightgreen
|
||||
keyword whole inset brightgreen
|
||||
keyword whole outset brightgreen
|
||||
keyword whole dashed brightgreen
|
||||
keyword whole solid brightgreen
|
||||
keyword whole double brightgreen
|
||||
keyword whole groove brightgreen
|
||||
keyword whole ridge brightgreen
|
||||
keyword whole inset brightgreen
|
||||
keyword whole outset brightgreen
|
||||
|
||||
keyword whole auto brightgreen
|
||||
keyword whole crosshair brightgreen
|
||||
keyword whole default brightgreen
|
||||
keyword whole pointer brightgreen
|
||||
keyword whole move brightgreen
|
||||
keyword whole e-resize brightgreen
|
||||
keyword whole ne-resize brightgreen
|
||||
keyword whole nw-resize brightgreen
|
||||
keyword whole n-resize brightgreen
|
||||
keyword whole se-resize brightgreen
|
||||
keyword whole sw-resize brightgreen
|
||||
keyword whole s-resize brightgreen
|
||||
keyword whole w-resize brightgreen
|
||||
keyword whole text brightgreen
|
||||
keyword whole wait brightgreen
|
||||
keyword whole help brightgreen
|
||||
keyword whole auto brightgreen
|
||||
keyword whole crosshair brightgreen
|
||||
keyword whole default brightgreen
|
||||
keyword whole pointer brightgreen
|
||||
keyword whole move brightgreen
|
||||
keyword whole e-resize brightgreen
|
||||
keyword whole ne-resize brightgreen
|
||||
keyword whole nw-resize brightgreen
|
||||
keyword whole n-resize brightgreen
|
||||
keyword whole se-resize brightgreen
|
||||
keyword whole sw-resize brightgreen
|
||||
keyword whole s-resize brightgreen
|
||||
keyword whole w-resize brightgreen
|
||||
keyword whole text brightgreen
|
||||
keyword whole wait brightgreen
|
||||
keyword whole help brightgreen
|
||||
|
||||
keyword whole inline brightgreen
|
||||
keyword whole block brightgreen
|
||||
keyword whole list-item brightgreen
|
||||
keyword whole run-in brightgreen
|
||||
keyword whole compact brightgreen
|
||||
keyword whole marker brightgreen
|
||||
keyword whole table brightgreen
|
||||
keyword whole inline-table brightgreen
|
||||
keyword whole table-row-group brightgreen
|
||||
keyword whole table-header-group brightgreen
|
||||
keyword whole table-footer-group brightgreen
|
||||
keyword whole table-row brightgreen
|
||||
keyword whole table-column-group brightgreen
|
||||
keyword whole table-column brightgreen
|
||||
keyword whole table-cell brightgreen
|
||||
keyword whole table-caption brightgreen
|
||||
keyword whole inline brightgreen
|
||||
keyword whole block brightgreen
|
||||
keyword whole list-item brightgreen
|
||||
keyword whole run-in brightgreen
|
||||
keyword whole compact brightgreen
|
||||
keyword whole marker brightgreen
|
||||
keyword whole table brightgreen
|
||||
keyword whole inline-table brightgreen
|
||||
keyword whole table-row-group brightgreen
|
||||
keyword whole table-header-group brightgreen
|
||||
keyword whole table-footer-group brightgreen
|
||||
keyword whole table-row brightgreen
|
||||
keyword whole table-column-group brightgreen
|
||||
keyword whole table-column brightgreen
|
||||
keyword whole table-cell brightgreen
|
||||
keyword whole table-caption brightgreen
|
||||
|
||||
keyword whole small brightgreen
|
||||
keyword whole medium brightgreen
|
||||
keyword whole large brightgreen
|
||||
keyword whole small brightgreen
|
||||
keyword whole medium brightgreen
|
||||
keyword whole large brightgreen
|
||||
|
||||
keyword whole normal brightgreen
|
||||
keyword whole bold brightgreen
|
||||
keyword whole bolder brightgreen
|
||||
keyword whole lighter brightgreen
|
||||
keyword whole normal brightgreen
|
||||
keyword whole bold brightgreen
|
||||
keyword whole bolder brightgreen
|
||||
keyword whole lighter brightgreen
|
||||
|
||||
keyword whole open-quote brightgreen
|
||||
keyword whole close-quote brightgreen
|
||||
keyword whole no-open-quote brightgreen
|
||||
keyword whole no-close-quote brightgreen
|
||||
keyword whole open-quote brightgreen
|
||||
keyword whole close-quote brightgreen
|
||||
keyword whole no-open-quote brightgreen
|
||||
keyword whole no-close-quote brightgreen
|
||||
|
||||
keyword whole dotted brightgreen
|
||||
keyword whole dashed brightgreen
|
||||
keyword whole solid brightgreen
|
||||
keyword whole double brightgreen
|
||||
keyword whole groove brightgreen
|
||||
keyword whole ridge brightgreen
|
||||
keyword whole inset brightgreen
|
||||
keyword whole outset brightgreen
|
||||
keyword whole dotted brightgreen
|
||||
keyword whole dashed brightgreen
|
||||
keyword whole solid brightgreen
|
||||
keyword whole double brightgreen
|
||||
keyword whole groove brightgreen
|
||||
keyword whole ridge brightgreen
|
||||
keyword whole inset brightgreen
|
||||
keyword whole outset brightgreen
|
||||
|
||||
keyword whole baseline brightgreen
|
||||
keyword whole sub brightgreen
|
||||
keyword whole super brightgreen
|
||||
keyword whole top brightgreen
|
||||
keyword whole text-top brightgreen
|
||||
keyword whole middle brightgreen
|
||||
keyword whole bottom brightgreen
|
||||
keyword whole text-bottom brightgreen
|
||||
keyword whole baseline brightgreen
|
||||
keyword whole sub brightgreen
|
||||
keyword whole super brightgreen
|
||||
keyword whole top brightgreen
|
||||
keyword whole text-top brightgreen
|
||||
keyword whole middle brightgreen
|
||||
keyword whole bottom brightgreen
|
||||
keyword whole text-bottom brightgreen
|
||||
|
||||
keyword whole collapse brightgreen
|
||||
keyword whole separate brightgreen
|
||||
keyword whole collapse brightgreen
|
||||
keyword whole separate brightgreen
|
||||
|
||||
keyword whole capitalize brightgreen
|
||||
keyword whole uppercase brightgreen
|
||||
keyword whole lowercase brightgreen
|
||||
keyword whole capitalize brightgreen
|
||||
keyword whole uppercase brightgreen
|
||||
keyword whole lowercase brightgreen
|
||||
|
||||
|
||||
keyword whole pre brightgreen
|
||||
keyword whole nowrap brightgreen
|
||||
keyword whole pre brightgreen
|
||||
keyword whole nowrap brightgreen
|
||||
|
||||
|
||||
|
||||
|
||||
|
||||
|
||||
keyword whole aliceblue brightgreen
|
||||
keyword whole antiquewhite brightgreen
|
||||
keyword whole aqua brightgreen
|
||||
keyword whole aquamarine brightgreen
|
||||
keyword whole azure brightgreen
|
||||
keyword whole beige brightgreen
|
||||
keyword whole bisque brightgreen
|
||||
keyword whole black brightgreen
|
||||
keyword whole blanchedalmond brightgreen
|
||||
keyword whole blue brightgreen
|
||||
keyword whole blueviolet brightgreen
|
||||
keyword whole brown brightgreen
|
||||
keyword whole burlywood brightgreen
|
||||
keyword whole cadetblue brightgreen
|
||||
keyword whole chartreuse brightgreen
|
||||
keyword whole chocolate brightgreen
|
||||
keyword whole coral brightgreen
|
||||
keyword whole cornflowerblue brightgreen
|
||||
keyword whole cornsilk brightgreen
|
||||
keyword whole crimson brightgreen
|
||||
keyword whole cyan brightgreen
|
||||
keyword whole darkblue brightgreen
|
||||
keyword whole darkcyan brightgreen
|
||||
keyword whole darkgoldenrod brightgreen
|
||||
keyword whole darkgray brightgreen
|
||||
keyword whole darkgreen brightgreen
|
||||
keyword whole darkkhaki brightgreen
|
||||
keyword whole darkmagenta brightgreen
|
||||
keyword whole darkolivegreen brightgreen
|
||||
keyword whole darkorange brightgreen
|
||||
keyword whole darkorchid brightgreen
|
||||
keyword whole darkred brightgreen
|
||||
keyword whole darksalmon brightgreen
|
||||
keyword whole darkseagreen brightgreen
|
||||
keyword whole darkslateblue brightgreen
|
||||
keyword whole darkslategray brightgreen
|
||||
keyword whole darkturquoise brightgreen
|
||||
keyword whole darkviolet brightgreen
|
||||
keyword whole deeppink brightgreen
|
||||
keyword whole deepskyblue brightgreen
|
||||
keyword whole dimgray brightgreen
|
||||
keyword whole dodgerblue brightgreen
|
||||
keyword whole feldspar brightgreen
|
||||
keyword whole firebrick brightgreen
|
||||
keyword whole floralwhite brightgreen
|
||||
keyword whole forestgreen brightgreen
|
||||
keyword whole fuchsia brightgreen
|
||||
keyword whole gainsboro brightgreen
|
||||
keyword whole ghostwhite brightgreen
|
||||
keyword whole gold brightgreen
|
||||
keyword whole goldenrod brightgreen
|
||||
keyword whole gray brightgreen
|
||||
keyword whole green brightgreen
|
||||
keyword whole greenyellow brightgreen
|
||||
keyword whole honeydew brightgreen
|
||||
keyword whole hotpink brightgreen
|
||||
keyword whole indianred brightgreen
|
||||
keyword whole indigo brightgreen
|
||||
keyword whole ivory brightgreen
|
||||
keyword whole khaki brightgreen
|
||||
keyword whole lavender brightgreen
|
||||
keyword whole lavenderblush brightgreen
|
||||
keyword whole lawngreen brightgreen
|
||||
keyword whole lemonchiffon brightgreen
|
||||
keyword whole lightblue brightgreen
|
||||
keyword whole lightcoral brightgreen
|
||||
keyword whole lightcyan brightgreen
|
||||
keyword whole lightgoldenrodyellow brightgreen
|
||||
keyword whole lightgrey brightgreen
|
||||
keyword whole lightgreen brightgreen
|
||||
keyword whole lightpink brightgreen
|
||||
keyword whole lightsalmon brightgreen
|
||||
keyword whole lightseagreen brightgreen
|
||||
keyword whole lightskyblue brightgreen
|
||||
keyword whole lightslateblue brightgreen
|
||||
keyword whole lightslategray brightgreen
|
||||
keyword whole lightsteelblue brightgreen
|
||||
keyword whole lightyellow brightgreen
|
||||
keyword whole lime brightgreen
|
||||
keyword whole limegreen brightgreen
|
||||
keyword whole linen brightgreen
|
||||
keyword whole magenta brightgreen
|
||||
keyword whole maroon brightgreen
|
||||
keyword whole mediumaquamarine brightgreen
|
||||
keyword whole mediumblue brightgreen
|
||||
keyword whole mediumorchid brightgreen
|
||||
keyword whole mediumpurple brightgreen
|
||||
keyword whole mediumseagreen brightgreen
|
||||
keyword whole mediumslateblue brightgreen
|
||||
keyword whole mediumspringgreen brightgreen
|
||||
keyword whole mediumturquoise brightgreen
|
||||
keyword whole mediumvioletred brightgreen
|
||||
keyword whole midnightblue brightgreen
|
||||
keyword whole mintcream brightgreen
|
||||
keyword whole mistyrose brightgreen
|
||||
keyword whole moccasin brightgreen
|
||||
keyword whole navajowhite brightgreen
|
||||
keyword whole navy brightgreen
|
||||
keyword whole oldlace brightgreen
|
||||
keyword whole olive brightgreen
|
||||
keyword whole olivedrab brightgreen
|
||||
keyword whole orange brightgreen
|
||||
keyword whole orangered brightgreen
|
||||
keyword whole orchid brightgreen
|
||||
keyword whole palegoldenrod brightgreen
|
||||
keyword whole palegreen brightgreen
|
||||
keyword whole paleturquoise brightgreen
|
||||
keyword whole palevioletred brightgreen
|
||||
keyword whole papayawhip brightgreen
|
||||
keyword whole peachpuff brightgreen
|
||||
keyword whole peru brightgreen
|
||||
keyword whole pink brightgreen
|
||||
keyword whole plum brightgreen
|
||||
keyword whole powderblue brightgreen
|
||||
keyword whole purple brightgreen
|
||||
keyword whole red brightgreen
|
||||
keyword whole rosybrown brightgreen
|
||||
keyword whole royalblue brightgreen
|
||||
keyword whole saddlebrown brightgreen
|
||||
keyword whole salmon brightgreen
|
||||
keyword whole sandybrown brightgreen
|
||||
keyword whole seagreen brightgreen
|
||||
keyword whole seashell brightgreen
|
||||
keyword whole sienna brightgreen
|
||||
keyword whole silver brightgreen
|
||||
keyword whole skyblue brightgreen
|
||||
keyword whole slateblue brightgreen
|
||||
keyword whole slategray brightgreen
|
||||
keyword whole snow brightgreen
|
||||
keyword whole springgreen brightgreen
|
||||
keyword whole steelblue brightgreen
|
||||
keyword whole tan brightgreen
|
||||
keyword whole teal brightgreen
|
||||
keyword whole thistle brightgreen
|
||||
keyword whole tomato brightgreen
|
||||
keyword whole turquoise brightgreen
|
||||
keyword whole violet brightgreen
|
||||
keyword whole violetred brightgreen
|
||||
keyword whole wheat brightgreen
|
||||
keyword whole white brightgreen
|
||||
keyword whole whitesmoke brightgreen
|
||||
keyword whole yellow brightgreen
|
||||
keyword whole yellowgreen brightgreen
|
||||
keyword whole aliceblue brightgreen
|
||||
keyword whole antiquewhite brightgreen
|
||||
keyword whole aqua brightgreen
|
||||
keyword whole aquamarine brightgreen
|
||||
keyword whole azure brightgreen
|
||||
keyword whole beige brightgreen
|
||||
keyword whole bisque brightgreen
|
||||
keyword whole black brightgreen
|
||||
keyword whole blanchedalmond brightgreen
|
||||
keyword whole blue brightgreen
|
||||
keyword whole blueviolet brightgreen
|
||||
keyword whole brown brightgreen
|
||||
keyword whole burlywood brightgreen
|
||||
keyword whole cadetblue brightgreen
|
||||
keyword whole chartreuse brightgreen
|
||||
keyword whole chocolate brightgreen
|
||||
keyword whole coral brightgreen
|
||||
keyword whole cornflowerblue brightgreen
|
||||
keyword whole cornsilk brightgreen
|
||||
keyword whole crimson brightgreen
|
||||
keyword whole cyan brightgreen
|
||||
keyword whole darkblue brightgreen
|
||||
keyword whole darkcyan brightgreen
|
||||
keyword whole darkgoldenrod brightgreen
|
||||
keyword whole darkgray brightgreen
|
||||
keyword whole darkgreen brightgreen
|
||||
keyword whole darkkhaki brightgreen
|
||||
keyword whole darkmagenta brightgreen
|
||||
keyword whole darkolivegreen brightgreen
|
||||
keyword whole darkorange brightgreen
|
||||
keyword whole darkorchid brightgreen
|
||||
keyword whole darkred brightgreen
|
||||
keyword whole darksalmon brightgreen
|
||||
keyword whole darkseagreen brightgreen
|
||||
keyword whole darkslateblue brightgreen
|
||||
keyword whole darkslategray brightgreen
|
||||
keyword whole darkturquoise brightgreen
|
||||
keyword whole darkviolet brightgreen
|
||||
keyword whole deeppink brightgreen
|
||||
keyword whole deepskyblue brightgreen
|
||||
keyword whole dimgray brightgreen
|
||||
keyword whole dodgerblue brightgreen
|
||||
keyword whole feldspar brightgreen
|
||||
keyword whole firebrick brightgreen
|
||||
keyword whole floralwhite brightgreen
|
||||
keyword whole forestgreen brightgreen
|
||||
keyword whole fuchsia brightgreen
|
||||
keyword whole gainsboro brightgreen
|
||||
keyword whole ghostwhite brightgreen
|
||||
keyword whole gold brightgreen
|
||||
keyword whole goldenrod brightgreen
|
||||
keyword whole gray brightgreen
|
||||
keyword whole green brightgreen
|
||||
keyword whole greenyellow brightgreen
|
||||
keyword whole honeydew brightgreen
|
||||
keyword whole hotpink brightgreen
|
||||
keyword whole indianred brightgreen
|
||||
keyword whole indigo brightgreen
|
||||
keyword whole ivory brightgreen
|
||||
keyword whole khaki brightgreen
|
||||
keyword whole lavender brightgreen
|
||||
keyword whole lavenderblush brightgreen
|
||||
keyword whole lawngreen brightgreen
|
||||
keyword whole lemonchiffon brightgreen
|
||||
keyword whole lightblue brightgreen
|
||||
keyword whole lightcoral brightgreen
|
||||
keyword whole lightcyan brightgreen
|
||||
keyword whole lightgoldenrodyellow brightgreen
|
||||
keyword whole lightgrey brightgreen
|
||||
keyword whole lightgreen brightgreen
|
||||
keyword whole lightpink brightgreen
|
||||
keyword whole lightsalmon brightgreen
|
||||
keyword whole lightseagreen brightgreen
|
||||
keyword whole lightskyblue brightgreen
|
||||
keyword whole lightslateblue brightgreen
|
||||
keyword whole lightslategray brightgreen
|
||||
keyword whole lightsteelblue brightgreen
|
||||
keyword whole lightyellow brightgreen
|
||||
keyword whole lime brightgreen
|
||||
keyword whole limegreen brightgreen
|
||||
keyword whole linen brightgreen
|
||||
keyword whole magenta brightgreen
|
||||
keyword whole maroon brightgreen
|
||||
keyword whole mediumaquamarine brightgreen
|
||||
keyword whole mediumblue brightgreen
|
||||
keyword whole mediumorchid brightgreen
|
||||
keyword whole mediumpurple brightgreen
|
||||
keyword whole mediumseagreen brightgreen
|
||||
keyword whole mediumslateblue brightgreen
|
||||
keyword whole mediumspringgreen brightgreen
|
||||
keyword whole mediumturquoise brightgreen
|
||||
keyword whole mediumvioletred brightgreen
|
||||
keyword whole midnightblue brightgreen
|
||||
keyword whole mintcream brightgreen
|
||||
keyword whole mistyrose brightgreen
|
||||
keyword whole moccasin brightgreen
|
||||
keyword whole navajowhite brightgreen
|
||||
keyword whole navy brightgreen
|
||||
keyword whole oldlace brightgreen
|
||||
keyword whole olive brightgreen
|
||||
keyword whole olivedrab brightgreen
|
||||
keyword whole orange brightgreen
|
||||
keyword whole orangered brightgreen
|
||||
keyword whole orchid brightgreen
|
||||
keyword whole palegoldenrod brightgreen
|
||||
keyword whole palegreen brightgreen
|
||||
keyword whole paleturquoise brightgreen
|
||||
keyword whole palevioletred brightgreen
|
||||
keyword whole papayawhip brightgreen
|
||||
keyword whole peachpuff brightgreen
|
||||
keyword whole peru brightgreen
|
||||
keyword whole pink brightgreen
|
||||
keyword whole plum brightgreen
|
||||
keyword whole powderblue brightgreen
|
||||
keyword whole purple brightgreen
|
||||
keyword whole red brightgreen
|
||||
keyword whole rosybrown brightgreen
|
||||
keyword whole royalblue brightgreen
|
||||
keyword whole saddlebrown brightgreen
|
||||
keyword whole salmon brightgreen
|
||||
keyword whole sandybrown brightgreen
|
||||
keyword whole seagreen brightgreen
|
||||
keyword whole seashell brightgreen
|
||||
keyword whole sienna brightgreen
|
||||
keyword whole silver brightgreen
|
||||
keyword whole skyblue brightgreen
|
||||
keyword whole slateblue brightgreen
|
||||
keyword whole slategray brightgreen
|
||||
keyword whole snow brightgreen
|
||||
keyword whole springgreen brightgreen
|
||||
keyword whole steelblue brightgreen
|
||||
keyword whole tan brightgreen
|
||||
keyword whole teal brightgreen
|
||||
keyword whole thistle brightgreen
|
||||
keyword whole tomato brightgreen
|
||||
keyword whole turquoise brightgreen
|
||||
keyword whole violet brightgreen
|
||||
keyword whole violetred brightgreen
|
||||
keyword whole wheat brightgreen
|
||||
keyword whole white brightgreen
|
||||
keyword whole whitesmoke brightgreen
|
||||
keyword whole yellow brightgreen
|
||||
keyword whole yellowgreen brightgreen
|
||||
|
||||
|
||||
|
||||
|
||||
keyword counter(\{*\}*) magenta
|
||||
keyword counters(\{*\}*) magenta
|
||||
keyword url(\{*\}*) magenta
|
||||
keyword rgb(\{*\}*) magenta
|
||||
keyword counter(\{*\}*) magenta
|
||||
keyword counters(\{*\}*) magenta
|
||||
keyword url(\{*\}*) magenta
|
||||
keyword rgb(\{*\}*) magenta
|
||||
|
||||
keyword { yellow
|
||||
keyword } yellow
|
||||
|
@ -30,498 +30,498 @@ context default
|
||||
keyword whole linestart #!\[\s\]/gdmd brightcyan black
|
||||
|
||||
# keywords
|
||||
keyword whole abstract yellow
|
||||
keyword whole alias yellow
|
||||
keyword whole align yellow
|
||||
keyword whole assert yellow
|
||||
keyword whole body yellow
|
||||
keyword whole bool yellow
|
||||
keyword whole byte yellow
|
||||
keyword whole cast yellow
|
||||
keyword whole catch yellow
|
||||
keyword whole cdouble yellow
|
||||
keyword whole cent yellow
|
||||
keyword whole cfloat yellow
|
||||
keyword whole class yellow
|
||||
keyword whole creal yellow
|
||||
keyword whole dchar yellow
|
||||
keyword whole debug yellow
|
||||
keyword whole delegate yellow
|
||||
keyword whole delete yellow
|
||||
keyword whole deprecated yellow
|
||||
keyword whole dstring yellow
|
||||
keyword whole false brightred
|
||||
keyword whole finally yellow
|
||||
keyword whole final yellow
|
||||
keyword whole foreach_reverse yellow
|
||||
keyword whole function yellow
|
||||
keyword whole idouble yellow
|
||||
keyword whole ifloat yellow
|
||||
keyword whole inout yellow
|
||||
keyword whole interface yellow
|
||||
keyword whole invariant yellow
|
||||
keyword whole in yellow
|
||||
keyword whole is yellow
|
||||
keyword whole ireal yellow
|
||||
keyword whole lazy yellow
|
||||
keyword whole long yellow
|
||||
keyword whole macro yellow
|
||||
keyword whole mixin yellow
|
||||
keyword whole module yellow
|
||||
keyword whole new yellow
|
||||
keyword whole null brightred
|
||||
keyword whole out yellow
|
||||
keyword whole override yellow
|
||||
keyword whole package yellow
|
||||
keyword whole pragma yellow
|
||||
keyword whole private yellow
|
||||
keyword whole protected yellow
|
||||
keyword whole public yellow
|
||||
keyword whole real yellow
|
||||
keyword whole ref yellow
|
||||
keyword whole scope yellow
|
||||
keyword whole string yellow
|
||||
keyword whole super brightred
|
||||
keyword whole synchronized yellow
|
||||
keyword whole template yellow
|
||||
keyword whole this brightred
|
||||
keyword whole throw yellow
|
||||
keyword whole true brightred
|
||||
keyword whole try yellow
|
||||
keyword whole typedef yellow
|
||||
keyword whole typeid yellow
|
||||
keyword whole typeof yellow
|
||||
keyword whole ubyte yellow
|
||||
keyword whole ucent yellow
|
||||
keyword whole ulong yellow
|
||||
keyword whole unittest yellow
|
||||
keyword whole ushort yellow
|
||||
keyword whole version yellow
|
||||
keyword whole volatile yellow
|
||||
keyword whole wchar yellow
|
||||
keyword whole with yellow
|
||||
keyword whole wstring yellow
|
||||
keyword whole asm yellow
|
||||
keyword whole auto yellow
|
||||
keyword whole break yellow
|
||||
keyword whole case yellow
|
||||
keyword whole char yellow
|
||||
keyword whole const yellow
|
||||
keyword whole continue yellow
|
||||
keyword whole default yellow
|
||||
keyword whole double yellow
|
||||
keyword whole do yellow
|
||||
keyword whole else yellow
|
||||
keyword whole enum yellow
|
||||
keyword whole export yellow
|
||||
keyword whole extern yellow
|
||||
keyword whole float yellow
|
||||
keyword whole foreach yellow
|
||||
keyword whole for yellow
|
||||
keyword whole goto yellow
|
||||
keyword whole if yellow
|
||||
keyword whole import magenta
|
||||
keyword whole inline yellow
|
||||
keyword whole int yellow
|
||||
keyword whole return yellow
|
||||
keyword whole short yellow
|
||||
keyword whole signed yellow
|
||||
keyword whole sizeof yellow
|
||||
keyword whole static yellow
|
||||
keyword whole struct yellow
|
||||
keyword whole switch yellow
|
||||
keyword whole typedef yellow
|
||||
keyword whole uint yellow
|
||||
keyword whole union yellow
|
||||
keyword whole unsigned yellow
|
||||
keyword whole void yellow
|
||||
keyword whole while yellow
|
||||
keyword whole abstract yellow
|
||||
keyword whole alias yellow
|
||||
keyword whole align yellow
|
||||
keyword whole assert yellow
|
||||
keyword whole body yellow
|
||||
keyword whole bool yellow
|
||||
keyword whole byte yellow
|
||||
keyword whole cast yellow
|
||||
keyword whole catch yellow
|
||||
keyword whole cdouble yellow
|
||||
keyword whole cent yellow
|
||||
keyword whole cfloat yellow
|
||||
keyword whole class yellow
|
||||
keyword whole creal yellow
|
||||
keyword whole dchar yellow
|
||||
keyword whole debug yellow
|
||||
keyword whole delegate yellow
|
||||
keyword whole delete yellow
|
||||
keyword whole deprecated yellow
|
||||
keyword whole dstring yellow
|
||||
keyword whole false brightred
|
||||
keyword whole finally yellow
|
||||
keyword whole final yellow
|
||||
keyword whole foreach_reverse yellow
|
||||
keyword whole function yellow
|
||||
keyword whole idouble yellow
|
||||
keyword whole ifloat yellow
|
||||
keyword whole inout yellow
|
||||
keyword whole interface yellow
|
||||
keyword whole invariant yellow
|
||||
keyword whole in yellow
|
||||
keyword whole is yellow
|
||||
keyword whole ireal yellow
|
||||
keyword whole lazy yellow
|
||||
keyword whole long yellow
|
||||
keyword whole macro yellow
|
||||
keyword whole mixin yellow
|
||||
keyword whole module yellow
|
||||
keyword whole new yellow
|
||||
keyword whole null brightred
|
||||
keyword whole out yellow
|
||||
keyword whole override yellow
|
||||
keyword whole package yellow
|
||||
keyword whole pragma yellow
|
||||
keyword whole private yellow
|
||||
keyword whole protected yellow
|
||||
keyword whole public yellow
|
||||
keyword whole real yellow
|
||||
keyword whole ref yellow
|
||||
keyword whole scope yellow
|
||||
keyword whole string yellow
|
||||
keyword whole super brightred
|
||||
keyword whole synchronized yellow
|
||||
keyword whole template yellow
|
||||
keyword whole this brightred
|
||||
keyword whole throw yellow
|
||||
keyword whole true brightred
|
||||
keyword whole try yellow
|
||||
keyword whole typedef yellow
|
||||
keyword whole typeid yellow
|
||||
keyword whole typeof yellow
|
||||
keyword whole ubyte yellow
|
||||
keyword whole ucent yellow
|
||||
keyword whole ulong yellow
|
||||
keyword whole unittest yellow
|
||||
keyword whole ushort yellow
|
||||
keyword whole version yellow
|
||||
keyword whole volatile yellow
|
||||
keyword whole wchar yellow
|
||||
keyword whole with yellow
|
||||
keyword whole wstring yellow
|
||||
keyword whole asm yellow
|
||||
keyword whole auto yellow
|
||||
keyword whole break yellow
|
||||
keyword whole case yellow
|
||||
keyword whole char yellow
|
||||
keyword whole const yellow
|
||||
keyword whole continue yellow
|
||||
keyword whole default yellow
|
||||
keyword whole double yellow
|
||||
keyword whole do yellow
|
||||
keyword whole else yellow
|
||||
keyword whole enum yellow
|
||||
keyword whole export yellow
|
||||
keyword whole extern yellow
|
||||
keyword whole float yellow
|
||||
keyword whole foreach yellow
|
||||
keyword whole for yellow
|
||||
keyword whole goto yellow
|
||||
keyword whole if yellow
|
||||
keyword whole import magenta
|
||||
keyword whole inline yellow
|
||||
keyword whole int yellow
|
||||
keyword whole return yellow
|
||||
keyword whole short yellow
|
||||
keyword whole signed yellow
|
||||
keyword whole sizeof yellow
|
||||
keyword whole static yellow
|
||||
keyword whole struct yellow
|
||||
keyword whole switch yellow
|
||||
keyword whole typedef yellow
|
||||
keyword whole uint yellow
|
||||
keyword whole union yellow
|
||||
keyword whole unsigned yellow
|
||||
keyword whole void yellow
|
||||
keyword whole while yellow
|
||||
|
||||
# predefined aliases (deprecated)
|
||||
keyword whole bit yellow
|
||||
keyword whole bit yellow
|
||||
# predefined aliases (2.0)
|
||||
keyword whole string yellow
|
||||
keyword whole dstring yellow
|
||||
keyword whole wstring yellow
|
||||
keyword whole string yellow
|
||||
keyword whole dstring yellow
|
||||
keyword whole wstring yellow
|
||||
|
||||
# D array atributes
|
||||
keyword wholeright .sizeof yellow
|
||||
keyword wholeright .length yellow
|
||||
keyword wholeright .ptr yellow
|
||||
keyword wholeright .dup yellow
|
||||
keyword wholeright .reverse yellow
|
||||
keyword wholeright .sort yellow
|
||||
keyword wholeright .keys yellow
|
||||
keyword wholeright .values yellow
|
||||
keyword wholeright .rehash yellow
|
||||
keyword wholeright .sizeof yellow
|
||||
keyword wholeright .length yellow
|
||||
keyword wholeright .ptr yellow
|
||||
keyword wholeright .dup yellow
|
||||
keyword wholeright .reverse yellow
|
||||
keyword wholeright .sort yellow
|
||||
keyword wholeright .keys yellow
|
||||
keyword wholeright .values yellow
|
||||
keyword wholeright .rehash yellow
|
||||
# D types atributes
|
||||
keyword wholeright .init yellow
|
||||
keyword wholeright .alignof yellow
|
||||
keyword wholeright .mangleof yellow
|
||||
keyword wholeright .stringof yellow
|
||||
keyword wholeright .init yellow
|
||||
keyword wholeright .alignof yellow
|
||||
keyword wholeright .mangleof yellow
|
||||
keyword wholeright .stringof yellow
|
||||
# +integer
|
||||
keyword wholeright .min yellow
|
||||
keyword wholeright .max yellow
|
||||
keyword wholeright .min yellow
|
||||
keyword wholeright .max yellow
|
||||
# +floating point
|
||||
keyword wholeright .infinity yellow
|
||||
keyword wholeright .nan yellow
|
||||
keyword wholeright .dig yellow
|
||||
keyword wholeright .epsilon yellow
|
||||
keyword wholeright .mant_dig yellow
|
||||
keyword wholeright .max_10_exp yellow
|
||||
keyword wholeright .max_exp yellow
|
||||
keyword wholeright .min_10_exp yellow
|
||||
keyword wholeright .min_exp yellow
|
||||
keyword wholeright .re yellow
|
||||
keyword wholeright .im yellow
|
||||
keyword wholeright .im yellow
|
||||
keyword wholeright .infinity yellow
|
||||
keyword wholeright .nan yellow
|
||||
keyword wholeright .dig yellow
|
||||
keyword wholeright .epsilon yellow
|
||||
keyword wholeright .mant_dig yellow
|
||||
keyword wholeright .max_10_exp yellow
|
||||
keyword wholeright .max_exp yellow
|
||||
keyword wholeright .min_10_exp yellow
|
||||
keyword wholeright .min_exp yellow
|
||||
keyword wholeright .re yellow
|
||||
keyword wholeright .im yellow
|
||||
keyword wholeright .im yellow
|
||||
# union
|
||||
keyword wholeright .offsetof yellow
|
||||
keyword wholeright .offsetof yellow
|
||||
|
||||
# D 2.0 atributes
|
||||
keyword wholeright .idup yellow
|
||||
keyword wholeright .idup yellow
|
||||
|
||||
# unary operators
|
||||
keyword whole opNeg gray
|
||||
keyword whole opPos gray
|
||||
keyword whole opCom gray
|
||||
keyword whole opPostInc gray
|
||||
keyword whole opPostDec gray
|
||||
keyword whole opCast gray
|
||||
keyword whole opNeg gray
|
||||
keyword whole opPos gray
|
||||
keyword whole opCom gray
|
||||
keyword whole opPostInc gray
|
||||
keyword whole opPostDec gray
|
||||
keyword whole opCast gray
|
||||
|
||||
# binary operators
|
||||
keyword whole opAdd gray
|
||||
keyword whole opAdd_r gray
|
||||
keyword whole opSub gray
|
||||
keyword whole opSub_r gray
|
||||
keyword whole opMul gray
|
||||
keyword whole opMul_r gray
|
||||
keyword whole opDiv gray
|
||||
keyword whole opDiv_r gray
|
||||
keyword whole opMod gray
|
||||
keyword whole opMod_r gray
|
||||
keyword whole opAnd gray
|
||||
keyword whole opAnd_r gray
|
||||
keyword whole opOr gray
|
||||
keyword whole opOr_r gray
|
||||
keyword whole opXor gray
|
||||
keyword whole opXor_r gray
|
||||
keyword whole opShl gray
|
||||
keyword whole opShl_r gray
|
||||
keyword whole opShr gray
|
||||
keyword whole opShr_r gray
|
||||
keyword whole opUShr gray
|
||||
keyword whole opUShr_r gray
|
||||
keyword whole opCat gray
|
||||
keyword whole opCat_r gray
|
||||
keyword whole opEquals gray
|
||||
keyword whole opCmp gray
|
||||
keyword whole opAssign gray
|
||||
keyword whole opAddAssign gray
|
||||
keyword whole opSubAssign gray
|
||||
keyword whole opMulAssign gray
|
||||
keyword whole opDivAssign gray
|
||||
keyword whole opModAssign gray
|
||||
keyword whole opAndAssign gray
|
||||
keyword whole opOrAssign gray
|
||||
keyword whole opXorAssign gray
|
||||
keyword whole opShlAssign gray
|
||||
keyword whole opShrAssign gray
|
||||
keyword whole opUShrAssign gray
|
||||
keyword whole opCatAssign gray
|
||||
keyword whole opIn gray
|
||||
keyword whole opIn_r gray
|
||||
keyword whole opAdd gray
|
||||
keyword whole opAdd_r gray
|
||||
keyword whole opSub gray
|
||||
keyword whole opSub_r gray
|
||||
keyword whole opMul gray
|
||||
keyword whole opMul_r gray
|
||||
keyword whole opDiv gray
|
||||
keyword whole opDiv_r gray
|
||||
keyword whole opMod gray
|
||||
keyword whole opMod_r gray
|
||||
keyword whole opAnd gray
|
||||
keyword whole opAnd_r gray
|
||||
keyword whole opOr gray
|
||||
keyword whole opOr_r gray
|
||||
keyword whole opXor gray
|
||||
keyword whole opXor_r gray
|
||||
keyword whole opShl gray
|
||||
keyword whole opShl_r gray
|
||||
keyword whole opShr gray
|
||||
keyword whole opShr_r gray
|
||||
keyword whole opUShr gray
|
||||
keyword whole opUShr_r gray
|
||||
keyword whole opCat gray
|
||||
keyword whole opCat_r gray
|
||||
keyword whole opEquals gray
|
||||
keyword whole opCmp gray
|
||||
keyword whole opAssign gray
|
||||
keyword whole opAddAssign gray
|
||||
keyword whole opSubAssign gray
|
||||
keyword whole opMulAssign gray
|
||||
keyword whole opDivAssign gray
|
||||
keyword whole opModAssign gray
|
||||
keyword whole opAndAssign gray
|
||||
keyword whole opOrAssign gray
|
||||
keyword whole opXorAssign gray
|
||||
keyword whole opShlAssign gray
|
||||
keyword whole opShrAssign gray
|
||||
keyword whole opUShrAssign gray
|
||||
keyword whole opCatAssign gray
|
||||
keyword whole opIn gray
|
||||
keyword whole opIn_r gray
|
||||
|
||||
# special operators
|
||||
keyword whole opCall gray
|
||||
keyword whole opIndex gray
|
||||
keyword whole opIndexAssign gray
|
||||
keyword whole opSlice gray
|
||||
keyword whole opSliceAssign gray
|
||||
keyword whole opAssign gray
|
||||
keyword whole opApply gray
|
||||
keyword whole opCall gray
|
||||
keyword whole opIndex gray
|
||||
keyword whole opIndexAssign gray
|
||||
keyword whole opSlice gray
|
||||
keyword whole opSliceAssign gray
|
||||
keyword whole opAssign gray
|
||||
keyword whole opApply gray
|
||||
|
||||
keyword whole ... yellow
|
||||
keyword whole .. yellow
|
||||
# keyword whole linestart \{\s\t\}\[\s\t\]#*\n brightmagenta # C preprocesor
|
||||
keyword whole ... yellow
|
||||
keyword whole .. yellow
|
||||
# keyword whole linestart \{\s\t\}\[\s\t\]#*\n brightmagenta # C preprocesor
|
||||
|
||||
# special objects
|
||||
|
||||
# hidden argument of variadic functions
|
||||
keyword whole _argptr brightred
|
||||
keyword whole _arguments brightred
|
||||
keyword whole _argptr brightred
|
||||
keyword whole _arguments brightred
|
||||
|
||||
# object
|
||||
keyword whole Object brightmagenta
|
||||
keyword whole Interface brightmagenta
|
||||
keyword whole ClassInfo brightmagenta
|
||||
keyword whole OffsetTypeInfo brightmagenta
|
||||
keyword whole TypeInfo brightmagenta
|
||||
keyword whole Exception brightmagenta
|
||||
keyword whole Error brightmagenta
|
||||
keyword whole Object brightmagenta
|
||||
keyword whole Interface brightmagenta
|
||||
keyword whole ClassInfo brightmagenta
|
||||
keyword whole OffsetTypeInfo brightmagenta
|
||||
keyword whole TypeInfo brightmagenta
|
||||
keyword whole Exception brightmagenta
|
||||
keyword whole Error brightmagenta
|
||||
|
||||
# common functions
|
||||
|
||||
# object methods
|
||||
keyword whole toString cyan
|
||||
keyword whole toHash cyan
|
||||
keyword whole toString cyan
|
||||
keyword whole toHash cyan
|
||||
# std.stdio
|
||||
keyword whole writefln cyan
|
||||
keyword whole writef cyan
|
||||
keyword whole writefln cyan
|
||||
keyword whole writef cyan
|
||||
# std.gc
|
||||
keyword whole addRoot cyan
|
||||
keyword whole removeRoot cyan
|
||||
keyword whole addRange cyan
|
||||
keyword whole hasPointers cyan
|
||||
keyword whole hasNoPointers cyan
|
||||
keyword whole setTypeInfo cyan
|
||||
keyword whole malloc cyan
|
||||
keyword whole realoc cyan
|
||||
keyword whole extend cyan
|
||||
keyword whole capacity cyan
|
||||
keyword whole setV1_0 cyan
|
||||
keyword whole fullCollect cyan
|
||||
keyword whole genCollect cyan
|
||||
keyword whole addRoot cyan
|
||||
keyword whole removeRoot cyan
|
||||
keyword whole addRange cyan
|
||||
keyword whole hasPointers cyan
|
||||
keyword whole hasNoPointers cyan
|
||||
keyword whole setTypeInfo cyan
|
||||
keyword whole malloc cyan
|
||||
keyword whole realoc cyan
|
||||
keyword whole extend cyan
|
||||
keyword whole capacity cyan
|
||||
keyword whole setV1_0 cyan
|
||||
keyword whole fullCollect cyan
|
||||
keyword whole genCollect cyan
|
||||
# std.string
|
||||
keyword whole iswhite cyan
|
||||
keyword whole atoi cyan
|
||||
keyword whole atof cyan
|
||||
keyword whole toStringz cyan
|
||||
keyword whole tolower cyan
|
||||
keyword whole toupper cyan
|
||||
keyword whole repeat cyan
|
||||
keyword whole join cyan
|
||||
keyword whole split cyan
|
||||
keyword whole stripl cyan
|
||||
keyword whole stripr cyan
|
||||
keyword whole strip cyan
|
||||
keyword whole chomp cyan
|
||||
keyword whole chop cyan
|
||||
keyword whole isNumeric cyan
|
||||
keyword whole isEmail cyan
|
||||
keyword whole isURL cyan
|
||||
keyword whole iswhite cyan
|
||||
keyword whole atoi cyan
|
||||
keyword whole atof cyan
|
||||
keyword whole toStringz cyan
|
||||
keyword whole tolower cyan
|
||||
keyword whole toupper cyan
|
||||
keyword whole repeat cyan
|
||||
keyword whole join cyan
|
||||
keyword whole split cyan
|
||||
keyword whole stripl cyan
|
||||
keyword whole stripr cyan
|
||||
keyword whole strip cyan
|
||||
keyword whole chomp cyan
|
||||
keyword whole chop cyan
|
||||
keyword whole isNumeric cyan
|
||||
keyword whole isEmail cyan
|
||||
keyword whole isURL cyan
|
||||
# std.math
|
||||
keyword whole abs cyan
|
||||
keyword whole conj cyan
|
||||
keyword whole cos cyan
|
||||
keyword whole sin cyan
|
||||
keyword whole tan cyan
|
||||
keyword whole acos cyan
|
||||
keyword whole asin cyan
|
||||
keyword whole atan cyan
|
||||
keyword whole atan2 cyan
|
||||
keyword whole cosh cyan
|
||||
keyword whole sinh cyan
|
||||
keyword whole tanh cyan
|
||||
keyword whole acosh cyan
|
||||
keyword whole asinh cyan
|
||||
keyword whole atanh cyan
|
||||
keyword whole rndtol cyan
|
||||
keyword whole rndtonl cyan
|
||||
keyword whole sqrt cyan
|
||||
keyword whole exp cyan
|
||||
keyword whole exp2 cyan
|
||||
keyword whole expm1 cyan
|
||||
keyword whole frexp cyan
|
||||
keyword whole ilogb cyan
|
||||
keyword whole ldexp cyan
|
||||
keyword whole log cyan
|
||||
keyword whole log10 cyan
|
||||
keyword whole log1p cyan
|
||||
keyword whole log2 cyan
|
||||
keyword whole logb cyan
|
||||
keyword whole modf cyan
|
||||
keyword whole scalbn cyan
|
||||
keyword whole cbrt cyan
|
||||
keyword whole fabs cyan
|
||||
keyword whole hypot cyan
|
||||
keyword whole erf cyan
|
||||
keyword whole erfc cyan
|
||||
keyword whole lgamma cyan
|
||||
keyword whole tgamma cyan
|
||||
keyword whole ceil cyan
|
||||
keyword whole floor cyan
|
||||
keyword whole nearbyint cyan
|
||||
keyword whole rint cyan
|
||||
keyword whole lrint cyan
|
||||
keyword whole round cyan
|
||||
keyword whole lround cyan
|
||||
keyword whole trunc cyan
|
||||
keyword whole remainder cyan
|
||||
keyword whole remquo cyan
|
||||
keyword whole isnan cyan
|
||||
keyword whole isfinite cyan
|
||||
keyword whole isnormal cyan
|
||||
keyword whole issubnormal cyan
|
||||
keyword whole isinf cyan
|
||||
keyword whole signbit cyan
|
||||
keyword whole copysign cyan
|
||||
keyword whole nan cyan
|
||||
keyword whole nextafter cyan
|
||||
keyword whole fdim cyan
|
||||
keyword whole fmax cyan
|
||||
keyword whole fmin cyan
|
||||
keyword whole fma cyan
|
||||
keyword whole pow cyan
|
||||
keyword whole feqrel cyan
|
||||
keyword whole poly cyan
|
||||
keyword whole abs cyan
|
||||
keyword whole conj cyan
|
||||
keyword whole cos cyan
|
||||
keyword whole sin cyan
|
||||
keyword whole tan cyan
|
||||
keyword whole acos cyan
|
||||
keyword whole asin cyan
|
||||
keyword whole atan cyan
|
||||
keyword whole atan2 cyan
|
||||
keyword whole cosh cyan
|
||||
keyword whole sinh cyan
|
||||
keyword whole tanh cyan
|
||||
keyword whole acosh cyan
|
||||
keyword whole asinh cyan
|
||||
keyword whole atanh cyan
|
||||
keyword whole rndtol cyan
|
||||
keyword whole rndtonl cyan
|
||||
keyword whole sqrt cyan
|
||||
keyword whole exp cyan
|
||||
keyword whole exp2 cyan
|
||||
keyword whole expm1 cyan
|
||||
keyword whole frexp cyan
|
||||
keyword whole ilogb cyan
|
||||
keyword whole ldexp cyan
|
||||
keyword whole log cyan
|
||||
keyword whole log10 cyan
|
||||
keyword whole log1p cyan
|
||||
keyword whole log2 cyan
|
||||
keyword whole logb cyan
|
||||
keyword whole modf cyan
|
||||
keyword whole scalbn cyan
|
||||
keyword whole cbrt cyan
|
||||
keyword whole fabs cyan
|
||||
keyword whole hypot cyan
|
||||
keyword whole erf cyan
|
||||
keyword whole erfc cyan
|
||||
keyword whole lgamma cyan
|
||||
keyword whole tgamma cyan
|
||||
keyword whole ceil cyan
|
||||
keyword whole floor cyan
|
||||
keyword whole nearbyint cyan
|
||||
keyword whole rint cyan
|
||||
keyword whole lrint cyan
|
||||
keyword whole round cyan
|
||||
keyword whole lround cyan
|
||||
keyword whole trunc cyan
|
||||
keyword whole remainder cyan
|
||||
keyword whole remquo cyan
|
||||
keyword whole isnan cyan
|
||||
keyword whole isfinite cyan
|
||||
keyword whole isnormal cyan
|
||||
keyword whole issubnormal cyan
|
||||
keyword whole isinf cyan
|
||||
keyword whole signbit cyan
|
||||
keyword whole copysign cyan
|
||||
keyword whole nan cyan
|
||||
keyword whole nextafter cyan
|
||||
keyword whole fdim cyan
|
||||
keyword whole fmax cyan
|
||||
keyword whole fmin cyan
|
||||
keyword whole fma cyan
|
||||
keyword whole pow cyan
|
||||
keyword whole feqrel cyan
|
||||
keyword whole poly cyan
|
||||
|
||||
# comments
|
||||
keyword /\*\* brown
|
||||
keyword /\* brown
|
||||
keyword \*/ brown
|
||||
keyword // brown
|
||||
keyword /\*\* brown
|
||||
keyword /\* brown
|
||||
keyword \*/ brown
|
||||
keyword // brown
|
||||
|
||||
keyword /\+\+\+\+\+\+\+\+\+\+ brown
|
||||
keyword /\+\+\+\+\+\+\+\+\+ brown
|
||||
keyword /\+\+\+\+\+\+\+\+ brown
|
||||
keyword /\+\+\+\+\+\+\+ brown
|
||||
keyword /\+\+\+\+\+\+ brown
|
||||
keyword /\+\+\+\+\+ brown
|
||||
keyword /\+\+\+\+ brown
|
||||
keyword /\+\+\+ brown
|
||||
keyword /\+\+ brown
|
||||
keyword /\+ brown
|
||||
keyword \+\+\+\+\+\+\+\+\+\+/ brown
|
||||
keyword \+\+\+\+\+\+\+\+\+/ brown
|
||||
keyword \+\+\+\+\+\+\+\+/ brown
|
||||
keyword \+\+\+\+\+\+\+/ brown
|
||||
keyword \+\+\+\+\+\+/ brown
|
||||
keyword \+\+\+\+\+/ brown
|
||||
keyword \+\+\+\+/ brown
|
||||
keyword \+\+\+/ brown
|
||||
keyword \+\+/ brown
|
||||
keyword \+/ brown
|
||||
keyword /\+\+\+\+\+\+\+\+\+\+ brown
|
||||
keyword /\+\+\+\+\+\+\+\+\+ brown
|
||||
keyword /\+\+\+\+\+\+\+\+ brown
|
||||
keyword /\+\+\+\+\+\+\+ brown
|
||||
keyword /\+\+\+\+\+\+ brown
|
||||
keyword /\+\+\+\+\+ brown
|
||||
keyword /\+\+\+\+ brown
|
||||
keyword /\+\+\+ brown
|
||||
keyword /\+\+ brown
|
||||
keyword /\+ brown
|
||||
keyword \+\+\+\+\+\+\+\+\+\+/ brown
|
||||
keyword \+\+\+\+\+\+\+\+\+/ brown
|
||||
keyword \+\+\+\+\+\+\+\+/ brown
|
||||
keyword \+\+\+\+\+\+\+/ brown
|
||||
keyword \+\+\+\+\+\+/ brown
|
||||
keyword \+\+\+\+\+/ brown
|
||||
keyword \+\+\+\+/ brown
|
||||
keyword \+\+\+/ brown
|
||||
keyword \+\+/ brown
|
||||
keyword \+/ brown
|
||||
|
||||
# chars, 'x' and entities
|
||||
keyword '\\\{"abtnvfr\}' brightgreen
|
||||
keyword \\\{"abtnvfr\} brightmagenta
|
||||
keyword '\\\{0123\}\{01234567\}\{01234567\}' brightgreen
|
||||
keyword \\\{0123\}\{01234567\}\{01234567\} brightmagenta
|
||||
keyword '\\\{01234567\}\{01234567\}' brightgreen
|
||||
keyword \\\{01234567\}\{01234567\} brightmagenta
|
||||
keyword '\\\{01234567\}' brightgreen
|
||||
keyword \\\{01234567\} brightmagenta
|
||||
keyword '\\'' brightgreen
|
||||
keyword '\\\\' brightgreen
|
||||
keyword '\\0' brightgreen
|
||||
keyword '\{\s!"#$%&()\*\+,-./0123456789:;<=>?@ABCDEFGHIJKLMNOPQRSTUVWXYZ[]^_`abcdefghijklmnopqrstuvwxyz{|}~嵗╯丰戍貝物洎悖停眾斯須號獄播噶擱藏霰匸<E99CB0>帊昅恘倳眑婭笫崷窙嗲睧颬睼麧緗鴇膹擨闀貘覷鏷禴矙𡜍𦶠<F0A19C8D>\}' brightgreen
|
||||
keyword '\\&*;' brightgreen
|
||||
keyword \\&*; brightmagenta
|
||||
keyword '\\x\{0123456789abcdefABCDEF\}\{0123456789abcdefABCDEF\}' brightgreen
|
||||
keyword \\x\{0123456789abcdefABCDEF\}\{0123456789abcdefABCDEF\} brightmagenta
|
||||
keyword '\\x\{0123456789abcdefABCDEF\}\{0123456789abcdefABCDEF\}' brightgreen
|
||||
keyword \\x\{0123456789abcdefABCDEF\}\{0123456789abcdefABCDEF\} brightmagenta
|
||||
keyword '\\u\{0123456789abcdefABCDEF\}\{0123456789abcdefABCDEF\}\{0123456789abcdefABCDEF\}\{0123456789abcdefABCDEF\}' brightgreen
|
||||
keyword \\u\{0123456789abcdefABCDEF\}\{0123456789abcdefABCDEF\}\{0123456789abcdefABCDEF\}\{0123456789abcdefABCDEF\} brightmagenta
|
||||
keyword '\\U\{0123456789abcdefABCDEF\}\{0123456789abcdefABCDEF\}\{0123456789abcdefABCDEF\}\{0123456789abcdefABCDEF\}\{0123456789abcdefABCDEF\}\{0123456789abcdefABCDEF\}\{0123456789abcdefABCDEF\}\{0123456789abcdefABCDEF\}' brightgreen
|
||||
keyword \\U\{0123456789abcdefABCDEF\}\{0123456789abcdefABCDEF\}\{0123456789abcdefABCDEF\}\{0123456789abcdefABCDEF\}\{0123456789abcdefABCDEF\}\{0123456789abcdefABCDEF\}\{0123456789abcdefABCDEF\}\{0123456789abcdefABCDEF\} brightmagenta
|
||||
keyword '\\\{"abtnvfr\}' brightgreen
|
||||
keyword \\\{"abtnvfr\} brightmagenta
|
||||
keyword '\\\{0123\}\{01234567\}\{01234567\}' brightgreen
|
||||
keyword \\\{0123\}\{01234567\}\{01234567\} brightmagenta
|
||||
keyword '\\\{01234567\}\{01234567\}' brightgreen
|
||||
keyword \\\{01234567\}\{01234567\} brightmagenta
|
||||
keyword '\\\{01234567\}' brightgreen
|
||||
keyword \\\{01234567\} brightmagenta
|
||||
keyword '\\'' brightgreen
|
||||
keyword '\\\\' brightgreen
|
||||
keyword '\\0' brightgreen
|
||||
keyword '\{\s!"#$%&()\*\+,-./0123456789:;<=>?@ABCDEFGHIJKLMNOPQRSTUVWXYZ[]^_`abcdefghijklmnopqrstuvwxyz{|}~嵗╯丰戍貝物洎悖停眾斯須號獄播噶擱藏霰匸<E99CB0>帊昅恘倳眑婭笫崷窙嗲睧颬睼麧緗鴇膹擨闀貘覷鏷禴矙𡜍𦶠<F0A19C8D>\}' brightgreen
|
||||
keyword '\\&*;' brightgreen
|
||||
keyword \\&*; brightmagenta
|
||||
keyword '\\x\{0123456789abcdefABCDEF\}\{0123456789abcdefABCDEF\}' brightgreen
|
||||
keyword \\x\{0123456789abcdefABCDEF\}\{0123456789abcdefABCDEF\} brightmagenta
|
||||
keyword '\\x\{0123456789abcdefABCDEF\}\{0123456789abcdefABCDEF\}' brightgreen
|
||||
keyword \\x\{0123456789abcdefABCDEF\}\{0123456789abcdefABCDEF\} brightmagenta
|
||||
keyword '\\u\{0123456789abcdefABCDEF\}\{0123456789abcdefABCDEF\}\{0123456789abcdefABCDEF\}\{0123456789abcdefABCDEF\}' brightgreen
|
||||
keyword \\u\{0123456789abcdefABCDEF\}\{0123456789abcdefABCDEF\}\{0123456789abcdefABCDEF\}\{0123456789abcdefABCDEF\} brightmagenta
|
||||
keyword '\\U\{0123456789abcdefABCDEF\}\{0123456789abcdefABCDEF\}\{0123456789abcdefABCDEF\}\{0123456789abcdefABCDEF\}\{0123456789abcdefABCDEF\}\{0123456789abcdefABCDEF\}\{0123456789abcdefABCDEF\}\{0123456789abcdefABCDEF\}' brightgreen
|
||||
keyword \\U\{0123456789abcdefABCDEF\}\{0123456789abcdefABCDEF\}\{0123456789abcdefABCDEF\}\{0123456789abcdefABCDEF\}\{0123456789abcdefABCDEF\}\{0123456789abcdefABCDEF\}\{0123456789abcdefABCDEF\}\{0123456789abcdefABCDEF\} brightmagenta
|
||||
|
||||
# hexstring
|
||||
keyword x"\[0123456789abcdefABCDEF\t\s\]"\{cwd\} brightgreen
|
||||
keyword x"\[0123456789abcdefABCDEF\t\s\]" brightgreen
|
||||
keyword x"\[0123456789abcdefABCDEF\t\s\]"\{cwd\} brightgreen
|
||||
keyword x"\[0123456789abcdefABCDEF\t\s\]" brightgreen
|
||||
|
||||
# start of wyswig string
|
||||
# keyword "\{cwd\} green # imposible in cooledit
|
||||
keyword " green
|
||||
keyword r" green
|
||||
# keyword `\{cwd\} green # imposible in cooledit
|
||||
keyword ` green
|
||||
# keyword "\{cwd\} green # imposible in cooledit
|
||||
keyword " green
|
||||
keyword r" green
|
||||
# keyword `\{cwd\} green # imposible in cooledit
|
||||
keyword ` green
|
||||
|
||||
# operators
|
||||
keyword !<>= yellow
|
||||
keyword !<> yellow
|
||||
keyword !>= yellow
|
||||
keyword !> yellow
|
||||
keyword !<= yellow
|
||||
keyword !< yellow
|
||||
keyword != yellow
|
||||
keyword ! yellow
|
||||
keyword %= yellow
|
||||
keyword % yellow
|
||||
keyword && yellow
|
||||
keyword &= yellow
|
||||
keyword & yellow
|
||||
keyword ( brightcyan
|
||||
keyword ) brightcyan
|
||||
keyword \+\+ yellow
|
||||
keyword -- yellow
|
||||
keyword \*= yellow
|
||||
keyword \* yellow
|
||||
keyword \+= yellow
|
||||
keyword \+ yellow
|
||||
keyword , brightcyan
|
||||
keyword -= yellow
|
||||
keyword - yellow
|
||||
keyword /= yellow
|
||||
keyword / yellow
|
||||
keyword : brightcyan
|
||||
keyword ; brightmagenta
|
||||
keyword <>= yellow
|
||||
keyword <> yellow
|
||||
keyword <<= yellow
|
||||
keyword << yellow
|
||||
keyword <= yellow
|
||||
keyword < yellow
|
||||
keyword = yellow
|
||||
keyword >>>= yellow
|
||||
keyword >>> yellow
|
||||
keyword >>= yellow
|
||||
keyword >> yellow
|
||||
keyword >= yellow
|
||||
keyword > yellow
|
||||
keyword ? brightcyan
|
||||
keyword [ brightcyan
|
||||
keyword ] brightcyan
|
||||
keyword ^= yellow
|
||||
keyword ^ yellow
|
||||
keyword || yellow
|
||||
keyword |= yellow
|
||||
keyword | yellow
|
||||
keyword { brightcyan
|
||||
keyword } brightcyan
|
||||
keyword $ brightcyan
|
||||
keyword ~= yellow
|
||||
keyword ~ yellow
|
||||
keyword !<>= yellow
|
||||
keyword !<> yellow
|
||||
keyword !>= yellow
|
||||
keyword !> yellow
|
||||
keyword !<= yellow
|
||||
keyword !< yellow
|
||||
keyword != yellow
|
||||
keyword ! yellow
|
||||
keyword %= yellow
|
||||
keyword % yellow
|
||||
keyword && yellow
|
||||
keyword &= yellow
|
||||
keyword & yellow
|
||||
keyword ( brightcyan
|
||||
keyword ) brightcyan
|
||||
keyword \+\+ yellow
|
||||
keyword -- yellow
|
||||
keyword \*= yellow
|
||||
keyword \* yellow
|
||||
keyword \+= yellow
|
||||
keyword \+ yellow
|
||||
keyword , brightcyan
|
||||
keyword -= yellow
|
||||
keyword - yellow
|
||||
keyword /= yellow
|
||||
keyword / yellow
|
||||
keyword : brightcyan
|
||||
keyword ; brightmagenta
|
||||
keyword <>= yellow
|
||||
keyword <> yellow
|
||||
keyword <<= yellow
|
||||
keyword << yellow
|
||||
keyword <= yellow
|
||||
keyword < yellow
|
||||
keyword = yellow
|
||||
keyword >>>= yellow
|
||||
keyword >>> yellow
|
||||
keyword >>= yellow
|
||||
keyword >> yellow
|
||||
keyword >= yellow
|
||||
keyword > yellow
|
||||
keyword ? brightcyan
|
||||
keyword [ brightcyan
|
||||
keyword ] brightcyan
|
||||
keyword ^= yellow
|
||||
keyword ^ yellow
|
||||
keyword || yellow
|
||||
keyword |= yellow
|
||||
keyword | yellow
|
||||
keyword { brightcyan
|
||||
keyword } brightcyan
|
||||
keyword $ brightcyan
|
||||
keyword ~= yellow
|
||||
keyword ~ yellow
|
||||
|
||||
# predefined lexer entities
|
||||
keyword whole __FILE__ red
|
||||
keyword whole __LINE__ red
|
||||
keyword whole __DATE__ red
|
||||
keyword whole __TIME__ red
|
||||
keyword whole __TIMESTAMP__ red
|
||||
keyword whole __FILE__ red
|
||||
keyword whole __LINE__ red
|
||||
keyword whole __DATE__ red
|
||||
keyword whole __TIME__ red
|
||||
keyword whole __TIMESTAMP__ red
|
||||
# 1.017 ?
|
||||
keyword whole __VENDOR__ red
|
||||
keyword whole __VERSION__ red
|
||||
keyword whole __VENDOR__ red
|
||||
keyword whole __VERSION__ red
|
||||
|
||||
keyword #line*"*" red
|
||||
keyword #line*"*" red
|
||||
|
||||
context exclusive /\*\* \*/ brown
|
||||
keyword \*/ brown
|
||||
spellcheck
|
||||
keyword \*/ brown
|
||||
spellcheck
|
||||
# ddoc
|
||||
keyword Authors: red
|
||||
keyword Author: red
|
||||
keyword BUGS: red
|
||||
keyword Bugs: red
|
||||
keyword Date: red
|
||||
keyword Deprecated: red
|
||||
keyword Examples: red
|
||||
keyword History: red
|
||||
keyword License: red
|
||||
keyword Returns: red
|
||||
keyword See_Also: red
|
||||
keyword Standards: red
|
||||
keyword Throws: red
|
||||
keyword Version: red
|
||||
keyword Authors: red
|
||||
keyword Author: red
|
||||
keyword BUGS: red
|
||||
keyword Bugs: red
|
||||
keyword Date: red
|
||||
keyword Deprecated: red
|
||||
keyword Examples: red
|
||||
keyword History: red
|
||||
keyword License: red
|
||||
keyword Returns: red
|
||||
keyword See_Also: red
|
||||
keyword Standards: red
|
||||
keyword Throws: red
|
||||
keyword Version: red
|
||||
# sections
|
||||
keyword Copyright: red
|
||||
keyword Params: red
|
||||
keyword Macros: red
|
||||
keyword Copyright: red
|
||||
keyword Params: red
|
||||
keyword Macros: red
|
||||
# non standard
|
||||
keyword TODO: red
|
||||
keyword FIXME: red
|
||||
keyword Note: red
|
||||
keyword TODO: red
|
||||
keyword FIXME: red
|
||||
keyword Note: red
|
||||
|
||||
context exclusive /\+\+\+\+\+\+\+\+\+ \+\+\+\+\+\+\+\+\+/ brown
|
||||
context exclusive /\+\+\+\+\+\+\+\+ \+\+\+\+\+\+\+\+/ brown
|
||||
@ -534,29 +534,29 @@ context exclusive /\+\+ \+\+/ brown
|
||||
context exclusive /\+ \+/ brown
|
||||
|
||||
context exclusive /\* \*/ brown
|
||||
spellcheck
|
||||
spellcheck
|
||||
|
||||
context exclusive // \n brown
|
||||
spellcheck
|
||||
spellcheck
|
||||
|
||||
context exclusive ` ` green
|
||||
|
||||
context exclusive r" " green
|
||||
|
||||
context exclusive " " green
|
||||
spellcheck
|
||||
keyword \\" brightgreen
|
||||
keyword %% brightgreen
|
||||
keyword %\[#0\s-\+,\]\[0123456789\*\]\[.\]\[0123456789\*\]\[L\]\{eEfgGoxX\} brightgreen
|
||||
keyword %\[0\s-\+,\]\[0123456789\*\]\[.\]\[0123456789\*\]\[hl\]\{diuxX\} brightgreen
|
||||
keyword %\[hl\]n brightgreen
|
||||
keyword %\[-\]\[0123456789\*\]\[.\]\[0123456789\*\]s brightgreen
|
||||
keyword %[*] brightgreen
|
||||
keyword %c brightgreen
|
||||
keyword %p brightgreen
|
||||
keyword \\\{0123\}\{01234567\}\{01234567\} brightgreen
|
||||
keyword \\\\ brightgreen
|
||||
keyword \\' brightgreen
|
||||
keyword \\\{abtnvfr\} brightgreen
|
||||
keyword \\&*; brightgreen
|
||||
spellcheck
|
||||
keyword \\" brightgreen
|
||||
keyword %% brightgreen
|
||||
keyword %\[#0\s-\+,\]\[0123456789\*\]\[.\]\[0123456789\*\]\[L\]\{eEfgGoxX\} brightgreen
|
||||
keyword %\[0\s-\+,\]\[0123456789\*\]\[.\]\[0123456789\*\]\[hl\]\{diuxX\} brightgreen
|
||||
keyword %\[hl\]n brightgreen
|
||||
keyword %\[-\]\[0123456789\*\]\[.\]\[0123456789\*\]s brightgreen
|
||||
keyword %[*] brightgreen
|
||||
keyword %c brightgreen
|
||||
keyword %p brightgreen
|
||||
keyword \\\{0123\}\{01234567\}\{01234567\} brightgreen
|
||||
keyword \\\\ brightgreen
|
||||
keyword \\' brightgreen
|
||||
keyword \\\{abtnvfr\} brightgreen
|
||||
keyword \\&*; brightgreen
|
||||
|
||||
|
@ -1,20 +1,20 @@
|
||||
context default
|
||||
|
||||
keyword linestart \s\s\* brightcyan/17
|
||||
keyword linestart \s-- brightred/19
|
||||
keyword linestart \s\s\s\s\+ cyan/17
|
||||
keyword linestart \s\s\* brightcyan/17
|
||||
keyword linestart \s-- brightred/19
|
||||
keyword linestart \s\s\s\s\+ cyan/17
|
||||
|
||||
keyword <*@*> brightred/19
|
||||
keyword whole experimental; brightmagenta/magenta
|
||||
keyword whole unstable; brightmagenta/magenta
|
||||
keyword whole stable; brightmagenta/magenta
|
||||
keyword whole urgency=low yellow/24
|
||||
keyword whole urgency=medium brightgreen/6
|
||||
keyword whole urgency=high white/25
|
||||
keyword whole urgency=LOW yellow/24
|
||||
keyword whole urgency=MEDIUM brightgreen/6
|
||||
keyword whole urgency=HIGH white/25
|
||||
keyword <*@*> brightred/19
|
||||
keyword whole experimental; brightmagenta/magenta
|
||||
keyword whole unstable; brightmagenta/magenta
|
||||
keyword whole stable; brightmagenta/magenta
|
||||
keyword whole urgency=low yellow/24
|
||||
keyword whole urgency=medium brightgreen/6
|
||||
keyword whole urgency=high white/25
|
||||
keyword whole urgency=LOW yellow/24
|
||||
keyword whole urgency=MEDIUM brightgreen/6
|
||||
keyword whole urgency=HIGH white/25
|
||||
|
||||
keyword whole bug white/25
|
||||
keyword whole Bug white/25
|
||||
keyword whole BUG white/25
|
||||
keyword whole bug white/25
|
||||
keyword whole Bug white/25
|
||||
keyword whole BUG white/25
|
||||
|
@ -1,23 +1,23 @@
|
||||
context default
|
||||
keyword linestart Source brightmagenta/20
|
||||
keyword linestart Section brightmagenta/20
|
||||
keyword linestart Priority brightmagenta/20
|
||||
keyword linestart Maintainer brightmagenta/20
|
||||
keyword linestart Standards-Version brightmagenta/20
|
||||
keyword linestart Package green/6
|
||||
keyword linestart Architecture green/6
|
||||
keyword linestart Depends green/6
|
||||
keyword linestart Recommends green/6
|
||||
keyword linestart Suggests green/6
|
||||
keyword linestart Conflicts green/6
|
||||
keyword linestart Replaces green/6
|
||||
keyword linestart Provides green/6
|
||||
keyword linestart Pre-Depends green/6
|
||||
keyword linestart Build-Depends green/6
|
||||
keyword linestart Build-Depends-Indep green/6
|
||||
keyword linestart Build-Conflicts green/6
|
||||
keyword linestart Build-Conflicts-Indep green/6
|
||||
keyword linestart Description green/6
|
||||
keyword <*@*> brightred/19
|
||||
keyword linestart Source brightmagenta/20
|
||||
keyword linestart Section brightmagenta/20
|
||||
keyword linestart Priority brightmagenta/20
|
||||
keyword linestart Maintainer brightmagenta/20
|
||||
keyword linestart Standards-Version brightmagenta/20
|
||||
keyword linestart Package green/6
|
||||
keyword linestart Architecture green/6
|
||||
keyword linestart Depends green/6
|
||||
keyword linestart Recommends green/6
|
||||
keyword linestart Suggests green/6
|
||||
keyword linestart Conflicts green/6
|
||||
keyword linestart Replaces green/6
|
||||
keyword linestart Provides green/6
|
||||
keyword linestart Pre-Depends green/6
|
||||
keyword linestart Build-Depends green/6
|
||||
keyword linestart Build-Depends-Indep green/6
|
||||
keyword linestart Build-Conflicts green/6
|
||||
keyword linestart Build-Conflicts-Indep green/6
|
||||
keyword linestart Description green/6
|
||||
keyword <*@*> brightred/19
|
||||
|
||||
context linestart \s \n brown/22
|
||||
|
@ -1,15 +1,15 @@
|
||||
context default
|
||||
keyword linestart Format green
|
||||
keyword linestart Source brightmagenta
|
||||
keyword linestart Version yellow
|
||||
keyword linestart Binary brightgreen
|
||||
keyword linestart Maintainer brightmagenta
|
||||
keyword <*@*> brightred
|
||||
keyword linestart Architecture cyan
|
||||
keyword linestart Standards-Version brightmagenta
|
||||
keyword linestart Build-Depends green
|
||||
keyword linestart Files green
|
||||
keyword linestart Format green
|
||||
keyword linestart Source brightmagenta
|
||||
keyword linestart Version yellow
|
||||
keyword linestart Binary brightgreen
|
||||
keyword linestart Maintainer brightmagenta
|
||||
keyword <*@*> brightred
|
||||
keyword linestart Architecture cyan
|
||||
keyword linestart Standards-Version brightmagenta
|
||||
keyword linestart Build-Depends green
|
||||
keyword linestart Files green
|
||||
|
||||
context --- \n brightred
|
||||
context --- \n brightred
|
||||
spellcheck
|
||||
|
||||
|
@ -1,6 +1,6 @@
|
||||
context default
|
||||
keyword linestart deb-src brightmagenta/20
|
||||
keyword linestart deb brightmagenta/20
|
||||
keyword linestart deb-src brightmagenta/20
|
||||
keyword linestart deb brightmagenta/20
|
||||
keyword \$\(ARCH\) brightred/18
|
||||
|
||||
context # \n brown/22
|
||||
|
@ -1,114 +1,114 @@
|
||||
# Sytnax highlighting for Eiffel
|
||||
# Sytnax highlighting for Eiffel
|
||||
# Daniel F Moisset - dmoisset@grulic.org.ar
|
||||
# Based on mc's pascal.syntax
|
||||
|
||||
context default yellow
|
||||
|
||||
keyword whole agent white
|
||||
keyword whole alias white
|
||||
keyword whole as white
|
||||
keyword whole check white
|
||||
keyword whole class white
|
||||
keyword whole create white
|
||||
keyword whole creation white
|
||||
keyword whole debug white
|
||||
keyword whole deferred white
|
||||
keyword whole do white
|
||||
keyword whole else white
|
||||
keyword whole elseif white
|
||||
keyword whole end white
|
||||
keyword whole ensure white
|
||||
keyword whole expanded white
|
||||
keyword whole export white
|
||||
keyword whole external white
|
||||
keyword whole feature white
|
||||
keyword whole from white
|
||||
keyword whole if white
|
||||
keyword whole is white
|
||||
keyword whole indexing white
|
||||
keyword whole inherit white
|
||||
keyword whole inspect white
|
||||
keyword whole invariant white
|
||||
keyword whole like white
|
||||
keyword whole local white
|
||||
keyword whole loop white
|
||||
keyword whole obsolete white
|
||||
keyword whole old white
|
||||
keyword whole once white
|
||||
keyword whole redefine white
|
||||
keyword whole reference white
|
||||
keyword whole rename white
|
||||
keyword whole require white
|
||||
keyword whole rescue white
|
||||
keyword whole retry white
|
||||
keyword whole select white
|
||||
keyword whole then white
|
||||
keyword whole undefine white
|
||||
keyword whole unique white
|
||||
keyword whole until white
|
||||
keyword whole variant white
|
||||
keyword whole when white
|
||||
keyword whole agent white
|
||||
keyword whole alias white
|
||||
keyword whole as white
|
||||
keyword whole check white
|
||||
keyword whole class white
|
||||
keyword whole create white
|
||||
keyword whole creation white
|
||||
keyword whole debug white
|
||||
keyword whole deferred white
|
||||
keyword whole do white
|
||||
keyword whole else white
|
||||
keyword whole elseif white
|
||||
keyword whole end white
|
||||
keyword whole ensure white
|
||||
keyword whole expanded white
|
||||
keyword whole export white
|
||||
keyword whole external white
|
||||
keyword whole feature white
|
||||
keyword whole from white
|
||||
keyword whole if white
|
||||
keyword whole is white
|
||||
keyword whole indexing white
|
||||
keyword whole inherit white
|
||||
keyword whole inspect white
|
||||
keyword whole invariant white
|
||||
keyword whole like white
|
||||
keyword whole local white
|
||||
keyword whole loop white
|
||||
keyword whole obsolete white
|
||||
keyword whole old white
|
||||
keyword whole once white
|
||||
keyword whole redefine white
|
||||
keyword whole reference white
|
||||
keyword whole rename white
|
||||
keyword whole require white
|
||||
keyword whole rescue white
|
||||
keyword whole retry white
|
||||
keyword whole select white
|
||||
keyword whole then white
|
||||
keyword whole undefine white
|
||||
keyword whole unique white
|
||||
keyword whole until white
|
||||
keyword whole variant white
|
||||
keyword whole when white
|
||||
|
||||
keyword whole Current brightmagenta
|
||||
keyword whole Precursor brightmagenta
|
||||
keyword whole Result brightmagenta
|
||||
keyword whole Void brightmagenta
|
||||
keyword whole Current brightmagenta
|
||||
keyword whole Precursor brightmagenta
|
||||
keyword whole Result brightmagenta
|
||||
keyword whole Void brightmagenta
|
||||
|
||||
# prevents - keyword from interfering with comment
|
||||
keyword -- lightgray
|
||||
keyword -- lightgray
|
||||
|
||||
keyword := brightcyan
|
||||
keyword ?= brightcyan
|
||||
keyword ! brightcyan
|
||||
keyword : brightcyan
|
||||
keyword ; brightcyan
|
||||
keyword ( brightcyan
|
||||
keyword ) brightcyan
|
||||
keyword [ brightcyan
|
||||
keyword ] brightcyan
|
||||
keyword := brightcyan
|
||||
keyword ?= brightcyan
|
||||
keyword ! brightcyan
|
||||
keyword : brightcyan
|
||||
keyword ; brightcyan
|
||||
keyword ( brightcyan
|
||||
keyword ) brightcyan
|
||||
keyword [ brightcyan
|
||||
keyword ] brightcyan
|
||||
|
||||
keyword {*} brightred
|
||||
keyword {*} brightred
|
||||
|
||||
keyword \+ cyan
|
||||
keyword - cyan
|
||||
keyword \* cyan
|
||||
keyword / cyan
|
||||
keyword > cyan
|
||||
keyword < cyan
|
||||
keyword = cyan
|
||||
keyword ^ cyan
|
||||
keyword \\ cyan
|
||||
keyword @ cyan
|
||||
keyword | cyan
|
||||
keyword whole and cyan
|
||||
keyword whole implies cyan
|
||||
keyword whole not cyan
|
||||
keyword whole xor cyan
|
||||
keyword whole or cyan
|
||||
keyword \+ cyan
|
||||
keyword - cyan
|
||||
keyword \* cyan
|
||||
keyword / cyan
|
||||
keyword > cyan
|
||||
keyword < cyan
|
||||
keyword = cyan
|
||||
keyword ^ cyan
|
||||
keyword \\ cyan
|
||||
keyword @ cyan
|
||||
keyword | cyan
|
||||
keyword whole and cyan
|
||||
keyword whole implies cyan
|
||||
keyword whole not cyan
|
||||
keyword whole xor cyan
|
||||
keyword whole or cyan
|
||||
|
||||
# Uncomment this to highlight tabs and trailing spaces
|
||||
# keyword \t yellow red
|
||||
# keyword \s\[\s\]\n yellow red
|
||||
# keyword \t yellow red
|
||||
# keyword \s\[\s\]\n yellow red
|
||||
|
||||
# Comments
|
||||
context exclusive -- \n lightgray
|
||||
context exclusive -- \n lightgray
|
||||
keyword `*' brown
|
||||
|
||||
# Strings and characters
|
||||
context " " green
|
||||
keyword %N brightgreen
|
||||
keyword %R brightgreen
|
||||
keyword %U brightgreen
|
||||
keyword %" brightgreen
|
||||
keyword %' brightgreen
|
||||
keyword %% brightgreen
|
||||
keyword %/\[0123456789\]/ brightgreen
|
||||
context " " green
|
||||
keyword %N brightgreen
|
||||
keyword %R brightgreen
|
||||
keyword %U brightgreen
|
||||
keyword %" brightgreen
|
||||
keyword %' brightgreen
|
||||
keyword %% brightgreen
|
||||
keyword %/\[0123456789\]/ brightgreen
|
||||
|
||||
context ' ' green
|
||||
keyword %N brightgreen
|
||||
keyword %R brightgreen
|
||||
keyword %U brightgreen
|
||||
keyword %" brightgreen
|
||||
keyword %' brightgreen
|
||||
keyword %% brightgreen
|
||||
keyword %/\[0123456789\]/ brightgreen
|
||||
context ' ' green
|
||||
keyword %N brightgreen
|
||||
keyword %R brightgreen
|
||||
keyword %U brightgreen
|
||||
keyword %" brightgreen
|
||||
keyword %' brightgreen
|
||||
keyword %% brightgreen
|
||||
keyword %/\[0123456789\]/ brightgreen
|
||||
|
@ -29,271 +29,271 @@
|
||||
# include erlang.syntax
|
||||
|
||||
context default
|
||||
keyword whole after yellow
|
||||
keyword whole and brown
|
||||
keyword whole andalso brown
|
||||
keyword whole band brown
|
||||
keyword whole begin yellow
|
||||
keyword whole bnot brown
|
||||
keyword whole bor brown
|
||||
keyword whole bsl brown
|
||||
keyword whole bsr brown
|
||||
keyword whole bxor brown
|
||||
keyword whole case yellow
|
||||
keyword whole catch yellow
|
||||
keyword whole cond yellow
|
||||
keyword whole div brown
|
||||
keyword whole end yellow
|
||||
keyword whole fun yellow
|
||||
keyword whole if yellow
|
||||
keyword whole let yellow
|
||||
keyword whole not brown
|
||||
keyword whole of yellow
|
||||
keyword whole or brown
|
||||
keyword whole orelse brown
|
||||
keyword whole query yellow
|
||||
keyword whole receive yellow
|
||||
keyword whole rem brown
|
||||
keyword whole try yellow
|
||||
keyword whole throw yellow
|
||||
keyword whole xor brown
|
||||
keyword whole after yellow
|
||||
keyword whole and brown
|
||||
keyword whole andalso brown
|
||||
keyword whole band brown
|
||||
keyword whole begin yellow
|
||||
keyword whole bnot brown
|
||||
keyword whole bor brown
|
||||
keyword whole bsl brown
|
||||
keyword whole bsr brown
|
||||
keyword whole bxor brown
|
||||
keyword whole case yellow
|
||||
keyword whole catch yellow
|
||||
keyword whole cond yellow
|
||||
keyword whole div brown
|
||||
keyword whole end yellow
|
||||
keyword whole fun yellow
|
||||
keyword whole if yellow
|
||||
keyword whole let yellow
|
||||
keyword whole not brown
|
||||
keyword whole of yellow
|
||||
keyword whole or brown
|
||||
keyword whole orelse brown
|
||||
keyword whole query yellow
|
||||
keyword whole receive yellow
|
||||
keyword whole rem brown
|
||||
keyword whole try yellow
|
||||
keyword whole throw yellow
|
||||
keyword whole xor brown
|
||||
|
||||
keyword whole when yellow
|
||||
keyword whole when yellow
|
||||
|
||||
keyword whole -module brightmagenta
|
||||
keyword whole -compile brightmagenta
|
||||
keyword whole -behaviour brightmagenta
|
||||
keyword whole -record brightmagenta
|
||||
keyword whole -define brightmagenta
|
||||
keyword whole -include brightmagenta
|
||||
keyword whole -include_lib brightmagenta
|
||||
keyword whole -vsn magenta
|
||||
keyword whole -author magenta
|
||||
keyword whole -copyright magenta
|
||||
keyword whole -export brightmagenta
|
||||
keyword whole -\{abcdefghijklmnoprqstuvwxyz\}\[abcdefghijklmnoprqstuvwxyzABCDEFGHIJKLMNOPRQSTVWXYZ0123456789_\] red
|
||||
keyword whole -module brightmagenta
|
||||
keyword whole -compile brightmagenta
|
||||
keyword whole -behaviour brightmagenta
|
||||
keyword whole -record brightmagenta
|
||||
keyword whole -define brightmagenta
|
||||
keyword whole -include brightmagenta
|
||||
keyword whole -include_lib brightmagenta
|
||||
keyword whole -vsn magenta
|
||||
keyword whole -author magenta
|
||||
keyword whole -copyright magenta
|
||||
keyword whole -export brightmagenta
|
||||
keyword whole -\{abcdefghijklmnoprqstuvwxyz\}\[abcdefghijklmnoprqstuvwxyzABCDEFGHIJKLMNOPRQSTVWXYZ0123456789_\] red
|
||||
|
||||
# erlang:*
|
||||
keyword whole abs brightgreen
|
||||
keyword whole accept brightgreen
|
||||
keyword whole alarm brightgreen
|
||||
keyword whole apply brightgreen
|
||||
keyword whole atom_to_list brightgreen
|
||||
keyword whole binary_to_list brightgreen
|
||||
keyword whole binary_to_term brightgreen
|
||||
keyword whole check_process_code brightgreen
|
||||
keyword whole concat_binary brightgreen
|
||||
keyword whole date brightgreen
|
||||
keyword whole delete_module brightgreen
|
||||
keyword whole disconnect_node brightgreen
|
||||
keyword whole element brightgreen
|
||||
keyword whole erase brightgreen
|
||||
keyword whole exit brightgreen
|
||||
keyword whole float brightgreen
|
||||
keyword whole float_to_list brightgreen
|
||||
keyword whole garbage_collect brightgreen
|
||||
keyword whole get brightgreen
|
||||
keyword whole get_keys brightgreen
|
||||
keyword whole group_leader brightgreen
|
||||
keyword whole halt brightgreen
|
||||
keyword whole hd brightgreen
|
||||
keyword whole integer_to_list brightgreen
|
||||
keyword whole is_alive brightgreen
|
||||
keyword whole is_atom brightgreen
|
||||
keyword whole is_binary brightgreen
|
||||
keyword whole is_boolean brightgreen
|
||||
keyword whole is_float brightgreen
|
||||
keyword whole is_function brightgreen
|
||||
keyword whole is_integer brightgreen
|
||||
keyword whole is_list brightgreen
|
||||
keyword whole is_number brightgreen
|
||||
keyword whole is_pid brightgreen
|
||||
keyword whole is_port brightgreen
|
||||
keyword whole is_process_alive brightgreen
|
||||
keyword whole is_record brightgreen
|
||||
keyword whole is_reference brightgreen
|
||||
keyword whole is_tuple brightgreen
|
||||
keyword whole length brightgreen
|
||||
keyword whole link brightgreen
|
||||
keyword whole list_to_atom brightgreen
|
||||
keyword whole list_to_binary brightgreen
|
||||
keyword whole list_to_float brightgreen
|
||||
keyword whole list_to_integer brightgreen
|
||||
keyword whole list_to_pid brightgreen
|
||||
keyword whole list_to_tuple brightgreen
|
||||
keyword whole load_module brightgreen
|
||||
keyword whole loaded brightgreen
|
||||
keyword whole localtime brightgreen
|
||||
keyword whole make_ref brightgreen
|
||||
keyword whole module_loaded brightgreen
|
||||
keyword whole node brightgreen
|
||||
keyword whole nodes brightgreen
|
||||
keyword whole now brightgreen
|
||||
keyword whole open_port brightgreen
|
||||
keyword whole pid_to_list brightgreen
|
||||
keyword whole port_close brightgreen
|
||||
keyword whole port_command brightgreen
|
||||
keyword whole port_connect brightgreen
|
||||
keyword whole port_control brightgreen
|
||||
keyword whole ports brightgreen
|
||||
keyword whole pre_loaded brightgreen
|
||||
keyword whole process_flag brightgreen
|
||||
keyword whole process_info brightgreen
|
||||
keyword whole processes brightgreen
|
||||
keyword whole purge_module brightgreen
|
||||
keyword whole put brightgreen
|
||||
keyword whole register brightgreen
|
||||
keyword whole registered brightgreen
|
||||
keyword whole round brightgreen
|
||||
keyword whole self brightgreen
|
||||
keyword whole setelement brightgreen
|
||||
keyword whole size brightgreen
|
||||
keyword whole spawn brightgreen
|
||||
keyword whole spawn_link brightgreen
|
||||
keyword whole spawn_opt brightgreen
|
||||
keyword whole split_binary brightgreen
|
||||
keyword whole statistics brightgreen
|
||||
keyword whole term_to_binary brightgreen
|
||||
keyword whole throw brightgreen
|
||||
keyword whole time brightgreen
|
||||
keyword whole tl brightgreen
|
||||
keyword whole trunc brightgreen
|
||||
keyword whole tuple_to_list brightgreen
|
||||
keyword whole unlink brightgreen
|
||||
keyword whole unregister brightgreen
|
||||
keyword whole whereis brightgreen
|
||||
keyword whole abs brightgreen
|
||||
keyword whole accept brightgreen
|
||||
keyword whole alarm brightgreen
|
||||
keyword whole apply brightgreen
|
||||
keyword whole atom_to_list brightgreen
|
||||
keyword whole binary_to_list brightgreen
|
||||
keyword whole binary_to_term brightgreen
|
||||
keyword whole check_process_code brightgreen
|
||||
keyword whole concat_binary brightgreen
|
||||
keyword whole date brightgreen
|
||||
keyword whole delete_module brightgreen
|
||||
keyword whole disconnect_node brightgreen
|
||||
keyword whole element brightgreen
|
||||
keyword whole erase brightgreen
|
||||
keyword whole exit brightgreen
|
||||
keyword whole float brightgreen
|
||||
keyword whole float_to_list brightgreen
|
||||
keyword whole garbage_collect brightgreen
|
||||
keyword whole get brightgreen
|
||||
keyword whole get_keys brightgreen
|
||||
keyword whole group_leader brightgreen
|
||||
keyword whole halt brightgreen
|
||||
keyword whole hd brightgreen
|
||||
keyword whole integer_to_list brightgreen
|
||||
keyword whole is_alive brightgreen
|
||||
keyword whole is_atom brightgreen
|
||||
keyword whole is_binary brightgreen
|
||||
keyword whole is_boolean brightgreen
|
||||
keyword whole is_float brightgreen
|
||||
keyword whole is_function brightgreen
|
||||
keyword whole is_integer brightgreen
|
||||
keyword whole is_list brightgreen
|
||||
keyword whole is_number brightgreen
|
||||
keyword whole is_pid brightgreen
|
||||
keyword whole is_port brightgreen
|
||||
keyword whole is_process_alive brightgreen
|
||||
keyword whole is_record brightgreen
|
||||
keyword whole is_reference brightgreen
|
||||
keyword whole is_tuple brightgreen
|
||||
keyword whole length brightgreen
|
||||
keyword whole link brightgreen
|
||||
keyword whole list_to_atom brightgreen
|
||||
keyword whole list_to_binary brightgreen
|
||||
keyword whole list_to_float brightgreen
|
||||
keyword whole list_to_integer brightgreen
|
||||
keyword whole list_to_pid brightgreen
|
||||
keyword whole list_to_tuple brightgreen
|
||||
keyword whole load_module brightgreen
|
||||
keyword whole loaded brightgreen
|
||||
keyword whole localtime brightgreen
|
||||
keyword whole make_ref brightgreen
|
||||
keyword whole module_loaded brightgreen
|
||||
keyword whole node brightgreen
|
||||
keyword whole nodes brightgreen
|
||||
keyword whole now brightgreen
|
||||
keyword whole open_port brightgreen
|
||||
keyword whole pid_to_list brightgreen
|
||||
keyword whole port_close brightgreen
|
||||
keyword whole port_command brightgreen
|
||||
keyword whole port_connect brightgreen
|
||||
keyword whole port_control brightgreen
|
||||
keyword whole ports brightgreen
|
||||
keyword whole pre_loaded brightgreen
|
||||
keyword whole process_flag brightgreen
|
||||
keyword whole process_info brightgreen
|
||||
keyword whole processes brightgreen
|
||||
keyword whole purge_module brightgreen
|
||||
keyword whole put brightgreen
|
||||
keyword whole register brightgreen
|
||||
keyword whole registered brightgreen
|
||||
keyword whole round brightgreen
|
||||
keyword whole self brightgreen
|
||||
keyword whole setelement brightgreen
|
||||
keyword whole size brightgreen
|
||||
keyword whole spawn brightgreen
|
||||
keyword whole spawn_link brightgreen
|
||||
keyword whole spawn_opt brightgreen
|
||||
keyword whole split_binary brightgreen
|
||||
keyword whole statistics brightgreen
|
||||
keyword whole term_to_binary brightgreen
|
||||
keyword whole throw brightgreen
|
||||
keyword whole time brightgreen
|
||||
keyword whole tl brightgreen
|
||||
keyword whole trunc brightgreen
|
||||
keyword whole tuple_to_list brightgreen
|
||||
keyword whole unlink brightgreen
|
||||
keyword whole unregister brightgreen
|
||||
keyword whole whereis brightgreen
|
||||
|
||||
keyword whole gen_server:cast brightgreen
|
||||
keyword whole gen_server:call brightgreen
|
||||
keyword whole gen_server:reply brightgreen
|
||||
keyword whole gen_server:start_link brightgreen
|
||||
keyword whole gen_server:start brightgreen
|
||||
keyword whole io:format brightgreen
|
||||
keyword whole gen_server:cast brightgreen
|
||||
keyword whole gen_server:call brightgreen
|
||||
keyword whole gen_server:reply brightgreen
|
||||
keyword whole gen_server:start_link brightgreen
|
||||
keyword whole gen_server:start brightgreen
|
||||
keyword whole io:format brightgreen
|
||||
|
||||
keyword whole init white
|
||||
keyword whole handle_cast white
|
||||
keyword whole handle_call white
|
||||
keyword whole handle_info white
|
||||
keyword whole handle_event white
|
||||
keyword whole handle_sync_event white
|
||||
keyword whole terminate white
|
||||
keyword whole code_change white
|
||||
keyword whole init white
|
||||
keyword whole handle_cast white
|
||||
keyword whole handle_call white
|
||||
keyword whole handle_info white
|
||||
keyword whole handle_event white
|
||||
keyword whole handle_sync_event white
|
||||
keyword whole terminate white
|
||||
keyword whole code_change white
|
||||
|
||||
# list comprehension
|
||||
keyword <- yellow
|
||||
keyword <- yellow
|
||||
# clause
|
||||
keyword -> yellow
|
||||
keyword -> yellow
|
||||
# guards
|
||||
keyword =:= brown
|
||||
keyword == brown
|
||||
keyword /= brown
|
||||
keyword =/= brown
|
||||
keyword =:= brown
|
||||
keyword == brown
|
||||
keyword /= brown
|
||||
keyword =/= brown
|
||||
# binary
|
||||
keyword << brightcyan
|
||||
keyword >> brightcyan
|
||||
keyword << brightcyan
|
||||
keyword >> brightcyan
|
||||
# guards
|
||||
keyword < brown
|
||||
keyword =< brown
|
||||
keyword >= brown
|
||||
keyword > brown
|
||||
keyword < brown
|
||||
keyword =< brown
|
||||
keyword >= brown
|
||||
keyword > brown
|
||||
# ops
|
||||
keyword - yellow
|
||||
keyword \+ yellow
|
||||
keyword / yellow
|
||||
keyword \* yellow
|
||||
keyword - yellow
|
||||
keyword \+ yellow
|
||||
keyword / yellow
|
||||
keyword \* yellow
|
||||
|
||||
keyword ; brightcyan
|
||||
keyword , brightcyan
|
||||
keyword . brightcyan
|
||||
keyword = yellow
|
||||
keyword % brown
|
||||
keyword ; brightcyan
|
||||
keyword , brightcyan
|
||||
keyword . brightcyan
|
||||
keyword = yellow
|
||||
keyword % brown
|
||||
|
||||
keyword \+\+ yellow
|
||||
keyword -- yellow
|
||||
keyword ! yellow
|
||||
keyword \+\+ yellow
|
||||
keyword -- yellow
|
||||
keyword ! yellow
|
||||
# TODO this does not work for $" or $', use $\" or $\' instead. ?
|
||||
keyword $\{abcdefghijklmnoprqstuvwxyzABCDEFGHIJKLMNOPRQSTUVWXYZ0123456789_{}[]()(\\/`~!@#$%^&\*+-=;:'"|,./?<>\} red
|
||||
keyword $\ red
|
||||
keyword $\{abcdefghijklmnoprqstuvwxyzABCDEFGHIJKLMNOPRQSTUVWXYZ0123456789_{}[]()(\\/`~!@#$%^&\*+-=;:'"|,./?<>\} red
|
||||
keyword $\ red
|
||||
|
||||
# keyword '\\\{0123\}\{01234567\}\{01234567\}' brightgreen
|
||||
# keyword '\\\{0123\}\{01234567\}\{01234567\}' brightgreen
|
||||
|
||||
# TODO: integers, inegers of form Base#digits, 2#1010101
|
||||
# todo: records access via #state{}
|
||||
# todo: records access via #state{}
|
||||
|
||||
keyword whole ?MODULE red
|
||||
keyword whole ?LINE red
|
||||
keyword whole ?FILE red
|
||||
keyword whole ?\[abcdefghijklmnoprqstuvwxyzABCDEFGHIJKLMNOPRQSTUVWXYZ0123456789_@\] red
|
||||
keyword whole ?MODULE red
|
||||
keyword whole ?LINE red
|
||||
keyword whole ?FILE red
|
||||
keyword whole ?\[abcdefghijklmnoprqstuvwxyzABCDEFGHIJKLMNOPRQSTUVWXYZ0123456789_@\] red
|
||||
|
||||
# gray operatory
|
||||
# cyan - moduly
|
||||
|
||||
|
||||
keyword whole true red
|
||||
keyword whole false red
|
||||
keyword whole true red
|
||||
keyword whole false red
|
||||
|
||||
keyword [ brightcyan
|
||||
keyword || brightcyan
|
||||
keyword | brightcyan
|
||||
keyword ] brightcyan
|
||||
keyword ( brightcyan
|
||||
keyword ) brightcyan
|
||||
keyword { cyan
|
||||
keyword } cyan
|
||||
keyword [ brightcyan
|
||||
keyword || brightcyan
|
||||
keyword | brightcyan
|
||||
keyword ] brightcyan
|
||||
keyword ( brightcyan
|
||||
keyword ) brightcyan
|
||||
keyword { cyan
|
||||
keyword } cyan
|
||||
|
||||
keyword whole lists:seq gray
|
||||
keyword whole lists:map gray
|
||||
keyword whole lists:filter gray
|
||||
keyword whole lists:reduce gray
|
||||
keyword whole lists:foldl gray
|
||||
keyword whole lists:foldr gray
|
||||
keyword whole lists:append gray
|
||||
keyword whole lists:nth gray
|
||||
keyword whole behaviour_info gray
|
||||
keyword whole dict:find gray
|
||||
keyword whole dict:is_key gray
|
||||
keyword whole dict:erase gray
|
||||
keyword whole dict:new gray
|
||||
keyword whole dict:map gray
|
||||
keyword whole dict:store gray
|
||||
keyword whole dict:fetch_keys gray
|
||||
keyword whole dict:append gray
|
||||
keyword whole dict:update gray
|
||||
keyword whole dict:update_counter gray
|
||||
keyword whole dict:fold gray
|
||||
keyword whole dict:to_list gray
|
||||
keyword whole dict:fetch gray
|
||||
keyword whole lists:seq gray
|
||||
keyword whole lists:map gray
|
||||
keyword whole lists:filter gray
|
||||
keyword whole lists:reduce gray
|
||||
keyword whole lists:foldl gray
|
||||
keyword whole lists:foldr gray
|
||||
keyword whole lists:append gray
|
||||
keyword whole lists:nth gray
|
||||
keyword whole behaviour_info gray
|
||||
keyword whole dict:find gray
|
||||
keyword whole dict:is_key gray
|
||||
keyword whole dict:erase gray
|
||||
keyword whole dict:new gray
|
||||
keyword whole dict:map gray
|
||||
keyword whole dict:store gray
|
||||
keyword whole dict:fetch_keys gray
|
||||
keyword whole dict:append gray
|
||||
keyword whole dict:update gray
|
||||
keyword whole dict:update_counter gray
|
||||
keyword whole dict:fold gray
|
||||
keyword whole dict:to_list gray
|
||||
keyword whole dict:fetch gray
|
||||
|
||||
# todo: no more than one dot in atom, and not at the end
|
||||
keyword whole \{abcdefghijklmnoprqstuvwxyz\}\[abcdefghijklmnoprqstuvwxyzABCDEFGHIJKLMNOPRQSTUVWXYZ0123456789_.@\] lightgray
|
||||
keyword whole \{_ABCDEFGHIJKLMNOPRQSTUVWXYZ\}\[abcdefghijklmnoprqstuvwxyzABCDEFGHIJKLMNOPRQSTUVWXYZ0123456789_@\] white
|
||||
keyword whole \{abcdefghijklmnoprqstuvwxyz\}\[abcdefghijklmnoprqstuvwxyzABCDEFGHIJKLMNOPRQSTUVWXYZ0123456789_.@\] lightgray
|
||||
keyword whole \{_ABCDEFGHIJKLMNOPRQSTUVWXYZ\}\[abcdefghijklmnoprqstuvwxyzABCDEFGHIJKLMNOPRQSTUVWXYZ0123456789_@\] white
|
||||
|
||||
context exclusive % \n brown
|
||||
spellcheck
|
||||
spellcheck
|
||||
|
||||
context " " green
|
||||
spellcheck
|
||||
keyword ~p brightgreen
|
||||
keyword ~n brightgreen
|
||||
keyword ~w brightgreen
|
||||
keyword ~~ brightgreen
|
||||
keyword \\" brightgreen
|
||||
keyword \\\{0123\}\{01234567\}\{01234567\} brightgreen
|
||||
keyword \\\{01234567\}\{01234567\} brightgreen
|
||||
keyword \\\{01234567\} brightgreen
|
||||
keyword \\x\{0123456789abcdefABCDEF\}\{0123456789abcdefABCDEF\} brightgreen
|
||||
keyword \\\\ brightgreen
|
||||
keyword \\' brightgreen
|
||||
keyword \\\{bdefrstv\} brightgreen
|
||||
keyword \\^\{abcdefghijklmnoprqstuvwxyzABCDEFGHIJKLMNOPRQSTUVWXYZ\} brightgreen
|
||||
spellcheck
|
||||
keyword ~p brightgreen
|
||||
keyword ~n brightgreen
|
||||
keyword ~w brightgreen
|
||||
keyword ~~ brightgreen
|
||||
keyword \\" brightgreen
|
||||
keyword \\\{0123\}\{01234567\}\{01234567\} brightgreen
|
||||
keyword \\\{01234567\}\{01234567\} brightgreen
|
||||
keyword \\\{01234567\} brightgreen
|
||||
keyword \\x\{0123456789abcdefABCDEF\}\{0123456789abcdefABCDEF\} brightgreen
|
||||
keyword \\\\ brightgreen
|
||||
keyword \\' brightgreen
|
||||
keyword \\\{bdefrstv\} brightgreen
|
||||
keyword \\^\{abcdefghijklmnoprqstuvwxyzABCDEFGHIJKLMNOPRQSTUVWXYZ\} brightgreen
|
||||
|
||||
context ' ' red
|
||||
keyword \\" brightred
|
||||
keyword \\\{0123\}\{01234567\}\{01234567\} brightred
|
||||
keyword \\\{01234567\}\{01234567\} brightred
|
||||
keyword \\\{01234567\} brightred
|
||||
keyword \\x\{0123456789abcdefABCDEF\}\{0123456789abcdefABCDEF\} brightred
|
||||
keyword \\\\ brightred
|
||||
keyword \\' brightred
|
||||
keyword \\\{bdefrstv\} brightred
|
||||
keyword \\^\{abcdefghijklmnoprqstuvwxyzABCDEFGHIJKLMNOPRQSTUVWXYZ\} brightred
|
||||
keyword \\" brightred
|
||||
keyword \\\{0123\}\{01234567\}\{01234567\} brightred
|
||||
keyword \\\{01234567\}\{01234567\} brightred
|
||||
keyword \\\{01234567\} brightred
|
||||
keyword \\x\{0123456789abcdefABCDEF\}\{0123456789abcdefABCDEF\} brightred
|
||||
keyword \\\\ brightred
|
||||
keyword \\' brightred
|
||||
keyword \\\{bdefrstv\} brightred
|
||||
keyword \\^\{abcdefghijklmnoprqstuvwxyzABCDEFGHIJKLMNOPRQSTUVWXYZ\} brightred
|
||||
|
@ -1,7 +1,7 @@
|
||||
# ---------------------------------------------------
|
||||
# fortran.syntax
|
||||
# FORTRAN language syntax highlighting template.
|
||||
# Provides syntax highlighting rules for the
|
||||
# Provides syntax highlighting rules for the
|
||||
# FORTRAN programming language.
|
||||
#
|
||||
# Author: John Theal
|
||||
@ -13,7 +13,7 @@
|
||||
|
||||
caseinsensitive
|
||||
|
||||
context default
|
||||
context default
|
||||
# keywords at the beginning of line
|
||||
keyword linestart ! black yellow
|
||||
keyword linestart \* black yellow
|
||||
@ -44,7 +44,7 @@ context default
|
||||
# boolean and logical expressions / operators
|
||||
keyword .and. brightred/orange
|
||||
keyword .eq. brightred/orange
|
||||
keyword .eqv. brightred/orange
|
||||
keyword .eqv. brightred/orange
|
||||
keyword .false. brightred/orange
|
||||
keyword .ge. brightred/orange
|
||||
keyword .gt. brightred/orange
|
||||
@ -60,7 +60,7 @@ context default
|
||||
keyword whole lle brightred/orange
|
||||
keyword whole llt brightred/orange
|
||||
|
||||
# declarations
|
||||
# declarations
|
||||
keyword whole block brightcyan
|
||||
keyword whole character brightcyan
|
||||
keyword whole common brightcyan
|
||||
@ -68,7 +68,7 @@ context default
|
||||
keyword whole data brightcyan
|
||||
keyword whole dimension brightcyan
|
||||
keyword whole double brightcyan
|
||||
keyword whole external brightcyan
|
||||
keyword whole external brightcyan
|
||||
keyword whole format brightcyan
|
||||
keyword whole implicit brightcyan
|
||||
keyword whole integer brightcyan
|
||||
@ -97,7 +97,7 @@ context default
|
||||
keyword whole stop brightgreen
|
||||
keyword whole then brightgreen
|
||||
keyword whole to brightgreen
|
||||
keyword whole while brightgreen
|
||||
keyword whole while brightgreen
|
||||
|
||||
# headers
|
||||
keyword whole entry brightgreen
|
||||
@ -106,7 +106,7 @@ context default
|
||||
keyword whole subroutine yellow
|
||||
|
||||
# I/O functions
|
||||
# may have optional equal signs after them: 'keyword ='
|
||||
# may have optional equal signs after them: 'keyword ='
|
||||
keyword whole access brightmagenta
|
||||
keyword whole backspace brightmagenta
|
||||
keyword whole blank brightmagenta
|
||||
|
@ -10,173 +10,173 @@
|
||||
# More built-in functions
|
||||
#
|
||||
# 2002-09-17 Vlad Romanenko <VladRomanenko@ukr.net>
|
||||
# * More C and Java consistency by Pavel Roskin <proski@gnu.org>.
|
||||
# * Advanced number highlighting.
|
||||
# * More C and Java consistency by Pavel Roskin <proski@gnu.org>.
|
||||
# * Advanced number highlighting.
|
||||
#
|
||||
# 2002-09-16 Vlad Romanenko <VladRomanenko@ukr.net>
|
||||
# * Initial public release.
|
||||
# * Initial public release.
|
||||
#
|
||||
|
||||
context default
|
||||
|
||||
#=========================
|
||||
# Keywords
|
||||
keyword whole arguments yellow
|
||||
keyword whole break yellow
|
||||
keyword whole caller yellow
|
||||
keyword whole case yellow
|
||||
keyword whole catch yellow
|
||||
keyword whole constructor yellow
|
||||
keyword whole continue yellow
|
||||
keyword whole else yellow
|
||||
keyword whole default yellow
|
||||
keyword whole delete yellow
|
||||
keyword whole for yellow
|
||||
keyword whole function yellow
|
||||
keyword whole if yellow
|
||||
keyword whole in yellow
|
||||
keyword whole instanceof yellow
|
||||
keyword whole new yellow
|
||||
keyword whole prototype yellow
|
||||
keyword whole return yellow
|
||||
keyword whole switch yellow
|
||||
keyword whole this yellow
|
||||
keyword whole throw yellow
|
||||
keyword whole try yellow
|
||||
keyword whole typeof yellow
|
||||
keyword whole var yellow
|
||||
keyword whole while yellow
|
||||
keyword whole with yellow
|
||||
#=========================
|
||||
# Keywords
|
||||
keyword whole arguments yellow
|
||||
keyword whole break yellow
|
||||
keyword whole caller yellow
|
||||
keyword whole case yellow
|
||||
keyword whole catch yellow
|
||||
keyword whole constructor yellow
|
||||
keyword whole continue yellow
|
||||
keyword whole else yellow
|
||||
keyword whole default yellow
|
||||
keyword whole delete yellow
|
||||
keyword whole for yellow
|
||||
keyword whole function yellow
|
||||
keyword whole if yellow
|
||||
keyword whole in yellow
|
||||
keyword whole instanceof yellow
|
||||
keyword whole new yellow
|
||||
keyword whole prototype yellow
|
||||
keyword whole return yellow
|
||||
keyword whole switch yellow
|
||||
keyword whole this yellow
|
||||
keyword whole throw yellow
|
||||
keyword whole try yellow
|
||||
keyword whole typeof yellow
|
||||
keyword whole var yellow
|
||||
keyword whole while yellow
|
||||
keyword whole with yellow
|
||||
|
||||
#=========================
|
||||
# Objects
|
||||
keyword whole ActiveXObject yellow
|
||||
keyword whole Array yellow
|
||||
keyword whole Boolean yellow
|
||||
keyword whole Date yellow
|
||||
keyword whole Dictionary yellow
|
||||
keyword whole Enumerator yellow
|
||||
keyword whole FileSystemObject yellow
|
||||
keyword whole Function yellow
|
||||
keyword whole Global yellow
|
||||
keyword whole Image yellow
|
||||
keyword whole Math yellow
|
||||
keyword whole Number yellow
|
||||
keyword whole Object yellow
|
||||
keyword whole TextStream yellow
|
||||
keyword whole RegExp yellow
|
||||
keyword whole VBArray yellow
|
||||
#=========================
|
||||
# Objects
|
||||
keyword whole ActiveXObject yellow
|
||||
keyword whole Array yellow
|
||||
keyword whole Boolean yellow
|
||||
keyword whole Date yellow
|
||||
keyword whole Dictionary yellow
|
||||
keyword whole Enumerator yellow
|
||||
keyword whole FileSystemObject yellow
|
||||
keyword whole Function yellow
|
||||
keyword whole Global yellow
|
||||
keyword whole Image yellow
|
||||
keyword whole Math yellow
|
||||
keyword whole Number yellow
|
||||
keyword whole Object yellow
|
||||
keyword whole TextStream yellow
|
||||
keyword whole RegExp yellow
|
||||
keyword whole VBArray yellow
|
||||
|
||||
#=========================
|
||||
# Most common functions
|
||||
keyword whole alert yellow
|
||||
keyword whole escape yellow
|
||||
keyword whole eval yellow
|
||||
keyword whole indexOf yellow
|
||||
keyword whole isNaN yellow
|
||||
keyword whole toString yellow
|
||||
keyword whole unescape yellow
|
||||
keyword whole valueOf yellow
|
||||
#=========================
|
||||
# Most common functions
|
||||
keyword whole alert yellow
|
||||
keyword whole escape yellow
|
||||
keyword whole eval yellow
|
||||
keyword whole indexOf yellow
|
||||
keyword whole isNaN yellow
|
||||
keyword whole toString yellow
|
||||
keyword whole unescape yellow
|
||||
keyword whole valueOf yellow
|
||||
|
||||
#=========================
|
||||
# Constants
|
||||
keyword whole false brightgreen
|
||||
keyword whole null brightgreen
|
||||
keyword whole true brightgreen
|
||||
keyword whole undefined yellow
|
||||
#=========================
|
||||
# Constants
|
||||
keyword whole false brightgreen
|
||||
keyword whole null brightgreen
|
||||
keyword whole true brightgreen
|
||||
keyword whole undefined yellow
|
||||
|
||||
#=========================
|
||||
# Comments
|
||||
keyword /\* brown
|
||||
keyword \*/ brown
|
||||
keyword // brown
|
||||
#=========================
|
||||
# Comments
|
||||
keyword /\* brown
|
||||
keyword \*/ brown
|
||||
keyword // brown
|
||||
|
||||
#=========================
|
||||
# Numbers
|
||||
wholechars abcdefghijklmnopqrstuvwxyzABCDEFGHIJKLMNOPQRSTUVWXYZ0123456789_.
|
||||
#=========================
|
||||
# Numbers
|
||||
wholechars abcdefghijklmnopqrstuvwxyzABCDEFGHIJKLMNOPQRSTUVWXYZ0123456789_.
|
||||
|
||||
keyword whole 0\{xX\}\{0123456789abcdefABCDEF\}\[0123456789abcdefABCDEF\] brightgreen
|
||||
keyword whole \{0123456789\}\[0123456789\] brightgreen
|
||||
keyword whole \[0123456789\]\.\{0123456789\}\[0123456789\] brightgreen
|
||||
keyword whole \{0123456789\}\[0123456789\]\.\[0123456789\] brightgreen
|
||||
keyword whole \{0123456789\}\[0123456789\]\{eE\}\{0123456789\}\[0123456789\] brightgreen
|
||||
keyword whole \{0123456789\}\[0123456789\]\{eE\}\{\-\+\}\{0123456789\}\[0123456789\] brightgreen
|
||||
keyword whole \{0123456789\}\[0123456789\]\.\{0123456789\}\[0123456789\]\{eE\}\{0123456789\}\[0123456789\] brightgreen
|
||||
keyword whole \{0123456789\}\[0123456789\]\.\{0123456789\}\[0123456789\]\{eE\}\{\-\+\}\{0123456789\}\[0123456789\] brightgreen
|
||||
keyword whole 0\{xX\}\{0123456789abcdefABCDEF\}\[0123456789abcdefABCDEF\] brightgreen
|
||||
keyword whole \{0123456789\}\[0123456789\] brightgreen
|
||||
keyword whole \[0123456789\]\.\{0123456789\}\[0123456789\] brightgreen
|
||||
keyword whole \{0123456789\}\[0123456789\]\.\[0123456789\] brightgreen
|
||||
keyword whole \{0123456789\}\[0123456789\]\{eE\}\{0123456789\}\[0123456789\] brightgreen
|
||||
keyword whole \{0123456789\}\[0123456789\]\{eE\}\{\-\+\}\{0123456789\}\[0123456789\] brightgreen
|
||||
keyword whole \{0123456789\}\[0123456789\]\.\{0123456789\}\[0123456789\]\{eE\}\{0123456789\}\[0123456789\] brightgreen
|
||||
keyword whole \{0123456789\}\[0123456789\]\.\{0123456789\}\[0123456789\]\{eE\}\{\-\+\}\{0123456789\}\[0123456789\] brightgreen
|
||||
|
||||
#=========================
|
||||
# Special symbols
|
||||
keyword \. yellow
|
||||
keyword \* yellow
|
||||
keyword \+ yellow
|
||||
keyword - yellow
|
||||
keyword / yellow
|
||||
keyword % yellow
|
||||
keyword = yellow
|
||||
keyword ! yellow
|
||||
keyword & yellow
|
||||
keyword | yellow
|
||||
keyword ^ yellow
|
||||
keyword ~ yellow
|
||||
keyword > yellow
|
||||
keyword < yellow
|
||||
#=========================
|
||||
# Special symbols
|
||||
keyword \. yellow
|
||||
keyword \* yellow
|
||||
keyword \+ yellow
|
||||
keyword - yellow
|
||||
keyword / yellow
|
||||
keyword % yellow
|
||||
keyword = yellow
|
||||
keyword ! yellow
|
||||
keyword & yellow
|
||||
keyword | yellow
|
||||
keyword ^ yellow
|
||||
keyword ~ yellow
|
||||
keyword > yellow
|
||||
keyword < yellow
|
||||
|
||||
#=========================
|
||||
# Separators
|
||||
keyword { brightcyan
|
||||
keyword } brightcyan
|
||||
keyword ( brightcyan
|
||||
keyword ) brightcyan
|
||||
keyword [ brightcyan
|
||||
keyword ] brightcyan
|
||||
keyword , brightcyan
|
||||
keyword ? brightcyan
|
||||
keyword : brightcyan
|
||||
keyword ; brightmagenta
|
||||
#=========================
|
||||
# Separators
|
||||
keyword { brightcyan
|
||||
keyword } brightcyan
|
||||
keyword ( brightcyan
|
||||
keyword ) brightcyan
|
||||
keyword [ brightcyan
|
||||
keyword ] brightcyan
|
||||
keyword , brightcyan
|
||||
keyword ? brightcyan
|
||||
keyword : brightcyan
|
||||
keyword ; brightmagenta
|
||||
|
||||
|
||||
#=============================
|
||||
# Comments
|
||||
|
||||
context exclusive /\* \*/ brown
|
||||
spellcheck
|
||||
keyword whole BUG brightred
|
||||
keyword whole FixMe brightred
|
||||
keyword whole FIXME brightred
|
||||
keyword whole Note brightred
|
||||
keyword whole NOTE brightred
|
||||
keyword whole ToDo brightred
|
||||
keyword whole TODO brightred
|
||||
keyword !!\[!\] brightred
|
||||
keyword ??\[?\] brightred
|
||||
spellcheck
|
||||
keyword whole BUG brightred
|
||||
keyword whole FixMe brightred
|
||||
keyword whole FIXME brightred
|
||||
keyword whole Note brightred
|
||||
keyword whole NOTE brightred
|
||||
keyword whole ToDo brightred
|
||||
keyword whole TODO brightred
|
||||
keyword !!\[!\] brightred
|
||||
keyword ??\[?\] brightred
|
||||
|
||||
|
||||
context exclusive // \n brown
|
||||
spellcheck
|
||||
keyword whole BUG brightred
|
||||
keyword whole FixMe brightred
|
||||
keyword whole FIXME brightred
|
||||
keyword whole Note brightred
|
||||
keyword whole NOTE brightred
|
||||
keyword whole ToDo brightred
|
||||
keyword whole TODO brightred
|
||||
keyword !!\[!\] brightred
|
||||
keyword ??\[?\] brightred
|
||||
spellcheck
|
||||
keyword whole BUG brightred
|
||||
keyword whole FixMe brightred
|
||||
keyword whole FIXME brightred
|
||||
keyword whole Note brightred
|
||||
keyword whole NOTE brightred
|
||||
keyword whole ToDo brightred
|
||||
keyword whole TODO brightred
|
||||
keyword !!\[!\] brightred
|
||||
keyword ??\[?\] brightred
|
||||
|
||||
|
||||
#=============================
|
||||
# Strings
|
||||
|
||||
context " " green
|
||||
spellcheck
|
||||
keyword \\\{\\'"abtnvfr\} brightgreen
|
||||
keyword \\\{0123\}\{01234567\}\{01234567\} brightgreen
|
||||
spellcheck
|
||||
keyword \\\{\\'"abtnvfr\} brightgreen
|
||||
keyword \\\{0123\}\{01234567\}\{01234567\} brightgreen
|
||||
|
||||
keyword ' brightgreen
|
||||
keyword ' brightgreen
|
||||
|
||||
context ' ' green
|
||||
spellcheck
|
||||
keyword \\\{\\'"abtnvfr\} brightgreen
|
||||
keyword \\\{0123\}\{01234567\}\{01234567\} brightgreen
|
||||
spellcheck
|
||||
keyword \\\{\\'"abtnvfr\} brightgreen
|
||||
keyword \\\{0123\}\{01234567\}\{01234567\} brightgreen
|
||||
|
||||
keyword " brightgreen
|
||||
keyword " brightgreen
|
||||
|
@ -1,5 +1,5 @@
|
||||
context default
|
||||
wholechars left \\
|
||||
wholechars left \\
|
||||
wholechars right abcdefghijklmnopqrstuvwxyzABCDEFGHIJKLMNOPQRSTUVWXYZ
|
||||
|
||||
spellcheck
|
||||
@ -68,7 +68,7 @@ wholechars right abcdefghijklmnopqrstuvwxyzABCDEFGHIJKLMNOPQRSTUVWXYZ
|
||||
keyword %% yellow
|
||||
keyword \\% yellow
|
||||
|
||||
# docuement and page styles
|
||||
# docuement and page styles
|
||||
keyword whole \\documentstyle[*]{*} yellow
|
||||
keyword whole \\documentstyle{*} yellow
|
||||
keyword whole \\pagestyle{*} yellow
|
||||
|
@ -80,5 +80,5 @@ context ; \n brown
|
||||
# Strings
|
||||
|
||||
context " " green
|
||||
keyword \\" green
|
||||
keyword \\\\ green
|
||||
keyword \\" green
|
||||
keyword \\\\ green
|
||||
|
@ -1,33 +1,33 @@
|
||||
|
||||
context default
|
||||
spellcheck
|
||||
keyword linestart Begin3 brightmagenta
|
||||
keyword linestart Title:\s\s\s\s\s\s\s\s\s\s red yellow
|
||||
keyword linestart Version:\s\s\s\s\s\s\s\s red yellow
|
||||
keyword linestart Entered-date:\s\s\s red yellow
|
||||
keyword linestart Description:\s\s\s\s red yellow
|
||||
keyword linestart Keywords:\s\s\s\s\s\s\s red yellow
|
||||
keyword linestart Alternate-site:\s red yellow
|
||||
keyword linestart Primary-site:\s\s\s red yellow
|
||||
keyword linestart Original-site:\s\s red yellow
|
||||
keyword linestart Platforms:\s\s\s\s\s\s red yellow
|
||||
keyword linestart Copying-policy:\s red yellow
|
||||
keyword linestart End brightmagenta
|
||||
keyword linestart Begin3 brightmagenta
|
||||
keyword linestart Title:\s\s\s\s\s\s\s\s\s\s red yellow
|
||||
keyword linestart Version:\s\s\s\s\s\s\s\s red yellow
|
||||
keyword linestart Entered-date:\s\s\s red yellow
|
||||
keyword linestart Description:\s\s\s\s red yellow
|
||||
keyword linestart Keywords:\s\s\s\s\s\s\s red yellow
|
||||
keyword linestart Alternate-site:\s red yellow
|
||||
keyword linestart Primary-site:\s\s\s red yellow
|
||||
keyword linestart Original-site:\s\s red yellow
|
||||
keyword linestart Platforms:\s\s\s\s\s\s red yellow
|
||||
keyword linestart Copying-policy:\s red yellow
|
||||
keyword linestart End brightmagenta
|
||||
|
||||
keyword linestart \t\t white yellow
|
||||
keyword linestart \s\s\s\s\s\s\s\s\s\s\s\s\s\s\s\s white yellow
|
||||
keyword whole GPL green
|
||||
keyword whole BSD green
|
||||
keyword whole Shareware green
|
||||
keyword whole sunsite.unc.edu green
|
||||
keyword wholeright \s*.tar.gz green
|
||||
keyword wholeright \s*.lsm green
|
||||
keyword linestart \t\t white yellow
|
||||
keyword linestart \s\s\s\s\s\s\s\s\s\s\s\s\s\s\s\s white yellow
|
||||
keyword whole GPL green
|
||||
keyword whole BSD green
|
||||
keyword whole Shareware green
|
||||
keyword whole sunsite.unc.edu green
|
||||
keyword wholeright \s*.tar.gz green
|
||||
keyword wholeright \s*.lsm green
|
||||
|
||||
context linestart Author:\s\s\s\s\s\s\s\s\s \n brightred
|
||||
keyword whole \s*@*\s(*) cyan
|
||||
keyword whole \s*@*\s(*) cyan
|
||||
|
||||
context linestart Maintained-by:\s\s \n brightred
|
||||
keyword whole \s*@*\s(*) cyan
|
||||
keyword whole \s*@*\s(*) cyan
|
||||
|
||||
###############################################################################
|
||||
|
||||
|
@ -154,7 +154,7 @@ context default lightgray
|
||||
keyword whole io.tmpfile yellow
|
||||
keyword whole io.type yellow
|
||||
keyword whole io.write yellow
|
||||
|
||||
|
||||
# filelib
|
||||
keyword whole file.flush yellow
|
||||
keyword whole file.read yellow
|
||||
|
@ -5,107 +5,107 @@
|
||||
# Author: Vlad Romanenko <VladRomanenko@ukr.net>
|
||||
#
|
||||
# 2002-09-19 Vlad Romanenko <VladRomanenko@ukr.net>
|
||||
# * Initial public release.
|
||||
# * Initial public release.
|
||||
#
|
||||
|
||||
context default
|
||||
|
||||
#=========================
|
||||
# Built-in macros with mandatory parameters
|
||||
keyword whole builtin yellow
|
||||
keyword whole decr yellow
|
||||
keyword whole define yellow
|
||||
keyword whole defn yellow
|
||||
keyword whole esyscmd yellow
|
||||
keyword whole eval yellow
|
||||
keyword whole format yellow
|
||||
keyword whole ifdef yellow
|
||||
keyword whole ifelse yellow
|
||||
keyword whole include yellow
|
||||
keyword whole incr yellow
|
||||
keyword whole index yellow
|
||||
keyword whole len yellow
|
||||
keyword whole maketemp yellow
|
||||
keyword whole patsubst yellow
|
||||
keyword whole popdef yellow
|
||||
keyword whole pushdef yellow
|
||||
keyword whole regexp yellow
|
||||
keyword whole sinclude yellow
|
||||
keyword whole substr yellow
|
||||
keyword whole syscmd yellow
|
||||
keyword whole translit yellow
|
||||
keyword whole undefine yellow
|
||||
#=========================
|
||||
# Built-in macros with mandatory parameters
|
||||
keyword whole builtin yellow
|
||||
keyword whole decr yellow
|
||||
keyword whole define yellow
|
||||
keyword whole defn yellow
|
||||
keyword whole esyscmd yellow
|
||||
keyword whole eval yellow
|
||||
keyword whole format yellow
|
||||
keyword whole ifdef yellow
|
||||
keyword whole ifelse yellow
|
||||
keyword whole include yellow
|
||||
keyword whole incr yellow
|
||||
keyword whole index yellow
|
||||
keyword whole len yellow
|
||||
keyword whole maketemp yellow
|
||||
keyword whole patsubst yellow
|
||||
keyword whole popdef yellow
|
||||
keyword whole pushdef yellow
|
||||
keyword whole regexp yellow
|
||||
keyword whole sinclude yellow
|
||||
keyword whole substr yellow
|
||||
keyword whole syscmd yellow
|
||||
keyword whole translit yellow
|
||||
keyword whole undefine yellow
|
||||
|
||||
#=========================
|
||||
# Built-in macros with optional parameters
|
||||
keyword whole changecom yellow
|
||||
keyword whole changequote yellow
|
||||
keyword whole debugfile yellow
|
||||
keyword whole debugmode yellow
|
||||
keyword whole divert yellow
|
||||
keyword whole divnum yellow
|
||||
keyword whole dumpdef yellow
|
||||
keyword whole errprint yellow
|
||||
keyword whole indir yellow
|
||||
keyword whole m4exit yellow
|
||||
keyword whole m4wrap yellow
|
||||
keyword whole shift yellow
|
||||
keyword whole sysval yellow
|
||||
keyword whole traceoff yellow
|
||||
keyword whole traceon yellow
|
||||
keyword whole undivert yellow
|
||||
#=========================
|
||||
# Built-in macros with optional parameters
|
||||
keyword whole changecom yellow
|
||||
keyword whole changequote yellow
|
||||
keyword whole debugfile yellow
|
||||
keyword whole debugmode yellow
|
||||
keyword whole divert yellow
|
||||
keyword whole divnum yellow
|
||||
keyword whole dumpdef yellow
|
||||
keyword whole errprint yellow
|
||||
keyword whole indir yellow
|
||||
keyword whole m4exit yellow
|
||||
keyword whole m4wrap yellow
|
||||
keyword whole shift yellow
|
||||
keyword whole sysval yellow
|
||||
keyword whole traceoff yellow
|
||||
keyword whole traceon yellow
|
||||
keyword whole undivert yellow
|
||||
|
||||
#=========================
|
||||
# Autoconf and Automake macros
|
||||
keyword whole AC_\[ABCDEFGHIJKLMNOPQRSTUVWXYZ_\] cyan
|
||||
keyword whole AS_\[ABCDEFGHIJKLMNOPQRSTUVWXYZ_\] cyan
|
||||
keyword whole AM_\[ABCDEFGHIJKLMNOPQRSTUVWXYZ_\] cyan
|
||||
#=========================
|
||||
# Autoconf and Automake macros
|
||||
keyword whole AC_\[ABCDEFGHIJKLMNOPQRSTUVWXYZ_\] cyan
|
||||
keyword whole AS_\[ABCDEFGHIJKLMNOPQRSTUVWXYZ_\] cyan
|
||||
keyword whole AM_\[ABCDEFGHIJKLMNOPQRSTUVWXYZ_\] cyan
|
||||
|
||||
#=========================
|
||||
# Variables
|
||||
keyword whole __file__ brightred
|
||||
keyword whole __gnu__ brightred
|
||||
keyword whole __line__ brightred
|
||||
keyword whole __unix__ brightred
|
||||
keyword wholeright $\{0123456789\}\[0123456789\] brightred
|
||||
keyword wholeright $\{@\*#\} brightred
|
||||
#=========================
|
||||
# Variables
|
||||
keyword whole __file__ brightred
|
||||
keyword whole __gnu__ brightred
|
||||
keyword whole __line__ brightred
|
||||
keyword whole __unix__ brightred
|
||||
keyword wholeright $\{0123456789\}\[0123456789\] brightred
|
||||
keyword wholeright $\{@\*#\} brightred
|
||||
|
||||
#=========================
|
||||
# Special symbols
|
||||
keyword ` brightcyan
|
||||
keyword ' brightcyan
|
||||
keyword [ brightcyan
|
||||
keyword ] brightcyan
|
||||
keyword , brightcyan
|
||||
keyword ( brightcyan
|
||||
keyword ) brightcyan
|
||||
#=========================
|
||||
# Special symbols
|
||||
keyword ` brightcyan
|
||||
keyword ' brightcyan
|
||||
keyword [ brightcyan
|
||||
keyword ] brightcyan
|
||||
keyword , brightcyan
|
||||
keyword ( brightcyan
|
||||
keyword ) brightcyan
|
||||
|
||||
|
||||
#=============================
|
||||
# Comments
|
||||
|
||||
context # \n brown
|
||||
spellcheck
|
||||
keyword whole BUG brightred
|
||||
keyword whole FixMe brightred
|
||||
keyword whole FIXME brightred
|
||||
keyword whole Note brightred
|
||||
keyword whole NOTE brightred
|
||||
keyword whole ToDo brightred
|
||||
keyword whole TODO brightred
|
||||
keyword !!\[!\] brightred
|
||||
keyword ??\[?\] brightred
|
||||
spellcheck
|
||||
keyword whole BUG brightred
|
||||
keyword whole FixMe brightred
|
||||
keyword whole FIXME brightred
|
||||
keyword whole Note brightred
|
||||
keyword whole NOTE brightred
|
||||
keyword whole ToDo brightred
|
||||
keyword whole TODO brightred
|
||||
keyword !!\[!\] brightred
|
||||
keyword ??\[?\] brightred
|
||||
|
||||
|
||||
context dnl \n brown
|
||||
spellcheck
|
||||
keyword whole BUG brightred
|
||||
keyword whole FixMe brightred
|
||||
keyword whole FIXME brightred
|
||||
keyword whole Note brightred
|
||||
keyword whole NOTE brightred
|
||||
keyword whole ToDo brightred
|
||||
keyword whole TODO brightred
|
||||
keyword !!\[!\] brightred
|
||||
keyword ??\[?\] brightred
|
||||
spellcheck
|
||||
keyword whole BUG brightred
|
||||
keyword whole FixMe brightred
|
||||
keyword whole FIXME brightred
|
||||
keyword whole Note brightred
|
||||
keyword whole NOTE brightred
|
||||
keyword whole ToDo brightred
|
||||
keyword whole TODO brightred
|
||||
keyword !!\[!\] brightred
|
||||
keyword ??\[?\] brightred
|
||||
|
||||
|
@ -1,11 +1,11 @@
|
||||
# PHP syntax file
|
||||
|
||||
# Authors:
|
||||
# Paul Sheer
|
||||
# Stephen Perez
|
||||
# Max Schedriviy <max@humgat.org>, 2001--2002
|
||||
# Leonard den Ottolander <leonard den ottolander nl>, 2003--2005
|
||||
# Jindrich Novy <jnovy@redhat.com>, 2005
|
||||
# Paul Sheer
|
||||
# Stephen Perez
|
||||
# Max Schedriviy <max@humgat.org>, 2001--2002
|
||||
# Leonard den Ottolander <leonard den ottolander nl>, 2003--2005
|
||||
# Jindrich Novy <jnovy@redhat.com>, 2005
|
||||
|
||||
context default
|
||||
|
||||
|
@ -5,267 +5,267 @@
|
||||
|
||||
context default
|
||||
keyword whole linestart #*procmail\n brightcyan black
|
||||
keyword whole #*\n brown
|
||||
keyword whole #*\n brown
|
||||
|
||||
wholechars abcdefghijklmnopqrstuvwxyzABCDEFGHIJKLMNOPQRSTUVWXYZ0123456789-._
|
||||
|
||||
##############################################################
|
||||
# Vars from procmail
|
||||
keyword whole MAILDIR brightgreen
|
||||
keyword whole DEFAULT brightgreen
|
||||
keyword whole LOGFILE brightgreen
|
||||
keyword whole VERBOSE brightgreen
|
||||
keyword whole LOGABSTRACT brightgreen
|
||||
keyword whole LOG brightgreen
|
||||
keyword whole ORGMAIL brightgreen
|
||||
keyword whole LOCKFILE brightgreen
|
||||
keyword whole LOCKEXT brightgreen
|
||||
keyword whole LOCKSLEEP brightgreen
|
||||
keyword whole LOCKTIMEOUT brightgreen
|
||||
keyword whole TIMEOUT brightgreen
|
||||
keyword whole MSGPREFIX brightgreen
|
||||
keyword whole HOST brightgreen
|
||||
keyword whole UMASK brightgreen
|
||||
keyword whole SHELLMETAS brightgreen
|
||||
keyword whole SHELLFLAGS brightgreen
|
||||
keyword whole SENDMAIL brightgreen
|
||||
keyword whole SENDMAILFLAGS brightgreen
|
||||
keyword whole NORESRETRY brightgreen
|
||||
keyword whole SUSPEND brightgreen
|
||||
keyword whole LINEBUF brightgreen
|
||||
keyword whole DELIVERED brightgreen
|
||||
keyword whole TRAP brightgreen
|
||||
keyword whole EXITCODE brightgreen
|
||||
keyword whole LASTFOLDER brightgreen
|
||||
keyword whole MATCH brightgreen
|
||||
keyword whole SHIFT brightgreen
|
||||
keyword whole INCLUDERC brightmagenta
|
||||
keyword whole SWITCHRC brightgreen
|
||||
keyword whole PROCMAIL_VERSION brightgreen
|
||||
keyword whole PROCMAIL_OVERFLOW brightgreen
|
||||
keyword whole COMSAT brightgreen
|
||||
keyword whole DROPPRIVS brightgreen
|
||||
keyword whole LOGNAME brightgreen
|
||||
keyword whole HOME brightgreen
|
||||
keyword whole PATH brightgreen
|
||||
keyword whole SHELL brightgreen
|
||||
keyword whole MAILDIR brightgreen
|
||||
keyword whole DEFAULT brightgreen
|
||||
keyword whole LOGFILE brightgreen
|
||||
keyword whole VERBOSE brightgreen
|
||||
keyword whole LOGABSTRACT brightgreen
|
||||
keyword whole LOG brightgreen
|
||||
keyword whole ORGMAIL brightgreen
|
||||
keyword whole LOCKFILE brightgreen
|
||||
keyword whole LOCKEXT brightgreen
|
||||
keyword whole LOCKSLEEP brightgreen
|
||||
keyword whole LOCKTIMEOUT brightgreen
|
||||
keyword whole TIMEOUT brightgreen
|
||||
keyword whole MSGPREFIX brightgreen
|
||||
keyword whole HOST brightgreen
|
||||
keyword whole UMASK brightgreen
|
||||
keyword whole SHELLMETAS brightgreen
|
||||
keyword whole SHELLFLAGS brightgreen
|
||||
keyword whole SENDMAIL brightgreen
|
||||
keyword whole SENDMAILFLAGS brightgreen
|
||||
keyword whole NORESRETRY brightgreen
|
||||
keyword whole SUSPEND brightgreen
|
||||
keyword whole LINEBUF brightgreen
|
||||
keyword whole DELIVERED brightgreen
|
||||
keyword whole TRAP brightgreen
|
||||
keyword whole EXITCODE brightgreen
|
||||
keyword whole LASTFOLDER brightgreen
|
||||
keyword whole MATCH brightgreen
|
||||
keyword whole SHIFT brightgreen
|
||||
keyword whole INCLUDERC brightmagenta
|
||||
keyword whole SWITCHRC brightgreen
|
||||
keyword whole PROCMAIL_VERSION brightgreen
|
||||
keyword whole PROCMAIL_OVERFLOW brightgreen
|
||||
keyword whole COMSAT brightgreen
|
||||
keyword whole DROPPRIVS brightgreen
|
||||
keyword whole LOGNAME brightgreen
|
||||
keyword whole HOME brightgreen
|
||||
keyword whole PATH brightgreen
|
||||
keyword whole SHELL brightgreen
|
||||
|
||||
keyword whole ST brightgreen
|
||||
keyword whole STN brightgreen
|
||||
keyword whole NL brightgreen
|
||||
keyword whole ST brightgreen
|
||||
keyword whole STN brightgreen
|
||||
keyword whole NL brightgreen
|
||||
|
||||
##############################################################
|
||||
# Vars used by tdtools-procmail
|
||||
keyword TDTP_DIRPREFIX brightgreen
|
||||
keyword TDTP_INC brightgreen
|
||||
keyword TDTP_DIR brightgreen
|
||||
keyword TDTP_USE_SEPARATOR brightgreen
|
||||
keyword TDTP_SHOW_MSGINFO brightgreen
|
||||
keyword TDTP_USE_SERNO brightgreen
|
||||
keyword TDTP_SERNO_MAX brightgreen
|
||||
keyword TDTP_LEFT_MAX brightgreen
|
||||
keyword TDTP_SHOW_FILTERS brightgreen
|
||||
keyword TDTP_USE_TAG_N_DROP brightgreen
|
||||
keyword TDTP_HITS_MIN brightgreen
|
||||
keyword TDTP_USE_L10N brightgreen
|
||||
keyword TDTP_USE_MAILHEADERS brightgreen
|
||||
keyword TDTP_DATE_PREFIX brightgreen
|
||||
keyword TDTP_USE_LISTDIR brightgreen
|
||||
keyword TDTP_LISTDIR_SEPARATOR brightgreen
|
||||
keyword TDTP_USE_EXPURGATE_BULK brightgreen
|
||||
keyword TDTP_SPAM_AT_END brightgreen
|
||||
keyword TDTP_MAXSIZE brightgreen
|
||||
keyword TDTP_DIRPREFIX brightgreen
|
||||
keyword TDTP_INC brightgreen
|
||||
keyword TDTP_DIR brightgreen
|
||||
keyword TDTP_USE_SEPARATOR brightgreen
|
||||
keyword TDTP_SHOW_MSGINFO brightgreen
|
||||
keyword TDTP_USE_SERNO brightgreen
|
||||
keyword TDTP_SERNO_MAX brightgreen
|
||||
keyword TDTP_LEFT_MAX brightgreen
|
||||
keyword TDTP_SHOW_FILTERS brightgreen
|
||||
keyword TDTP_USE_TAG_N_DROP brightgreen
|
||||
keyword TDTP_HITS_MIN brightgreen
|
||||
keyword TDTP_USE_L10N brightgreen
|
||||
keyword TDTP_USE_MAILHEADERS brightgreen
|
||||
keyword TDTP_DATE_PREFIX brightgreen
|
||||
keyword TDTP_USE_LISTDIR brightgreen
|
||||
keyword TDTP_LISTDIR_SEPARATOR brightgreen
|
||||
keyword TDTP_USE_EXPURGATE_BULK brightgreen
|
||||
keyword TDTP_SPAM_AT_END brightgreen
|
||||
keyword TDTP_MAXSIZE brightgreen
|
||||
|
||||
keyword DATE_NOW_RFC brightgreen
|
||||
keyword DATE_NOW_SER brightgreen
|
||||
keyword FROM brightgreen
|
||||
keyword TO brightgreen
|
||||
keyword CC brightgreen
|
||||
keyword ENVTO brightgreen
|
||||
keyword SIZE brightgreen
|
||||
keyword DATE_DATE brightgreen
|
||||
keyword DATE_RFC brightgreen
|
||||
keyword DATE_SER brightgreen
|
||||
keyword DATE_RECV_RFC brightgreen
|
||||
keyword DATE_RECV_SER brightgreen
|
||||
keyword MSG_HOUR brightgreen
|
||||
keyword MSG_DAY brightgreen
|
||||
keyword MSG_WEEK brightgreen
|
||||
keyword MSG_MONTH brightgreen
|
||||
keyword MSG_YEAR brightgreen
|
||||
keyword TDTP_LISTDIR brightgreen
|
||||
keyword TDTP_NAME brightgreen
|
||||
keyword SPAMTAG brightgreen
|
||||
keyword FILTER brightgreen
|
||||
keyword TDTP_HITS_TOT brightgreen
|
||||
keyword DATE_NOW_RFC brightgreen
|
||||
keyword DATE_NOW_SER brightgreen
|
||||
keyword FROM brightgreen
|
||||
keyword TO brightgreen
|
||||
keyword CC brightgreen
|
||||
keyword ENVTO brightgreen
|
||||
keyword SIZE brightgreen
|
||||
keyword DATE_DATE brightgreen
|
||||
keyword DATE_RFC brightgreen
|
||||
keyword DATE_SER brightgreen
|
||||
keyword DATE_RECV_RFC brightgreen
|
||||
keyword DATE_RECV_SER brightgreen
|
||||
keyword MSG_HOUR brightgreen
|
||||
keyword MSG_DAY brightgreen
|
||||
keyword MSG_WEEK brightgreen
|
||||
keyword MSG_MONTH brightgreen
|
||||
keyword MSG_YEAR brightgreen
|
||||
keyword TDTP_LISTDIR brightgreen
|
||||
keyword TDTP_NAME brightgreen
|
||||
keyword SPAMTAG brightgreen
|
||||
keyword FILTER brightgreen
|
||||
keyword TDTP_HITS_TOT brightgreen
|
||||
|
||||
keyword whole linestart TDTP_L10N_* brightgreen
|
||||
keyword TDTP_L10N_LL_CC brightgreen
|
||||
keyword TDTP_L10N_LL brightgreen
|
||||
keyword TDTP_L10N_FILE brightgreen
|
||||
keyword whole linestart TDTP_L10N_* brightgreen
|
||||
keyword TDTP_L10N_LL_CC brightgreen
|
||||
keyword TDTP_L10N_LL brightgreen
|
||||
keyword TDTP_L10N_FILE brightgreen
|
||||
|
||||
keyword TDTP_EMAIL_NET brightgreen
|
||||
keyword TDTP_EMAIL_TDAUTOBUILDER brightgreen
|
||||
keyword TDTP_EMAIL_TDCONTROL brightgreen
|
||||
keyword TDTP_EMAIL_TDAUTODOWNLOADER brightgreen
|
||||
keyword TDTP_EMAIL_BUSINESS brightgreen
|
||||
keyword TDTP_EMAIL_BTS_DEBIAN brightgreen
|
||||
keyword TDTP_EMAIL_ML brightgreen
|
||||
keyword TDTP_EMAIL_PRI brightgreen
|
||||
keyword TDTP_EMAIL_NET brightgreen
|
||||
keyword TDTP_EMAIL_TDAUTOBUILDER brightgreen
|
||||
keyword TDTP_EMAIL_TDCONTROL brightgreen
|
||||
keyword TDTP_EMAIL_TDAUTODOWNLOADER brightgreen
|
||||
keyword TDTP_EMAIL_BUSINESS brightgreen
|
||||
keyword TDTP_EMAIL_BTS_DEBIAN brightgreen
|
||||
keyword TDTP_EMAIL_ML brightgreen
|
||||
keyword TDTP_EMAIL_PRI brightgreen
|
||||
|
||||
keyword tdmcRDATE brightgreen
|
||||
keyword tdmcSDATE brightgreen
|
||||
keyword tdmcNL brightgreen
|
||||
keyword tdmcRDATE brightgreen
|
||||
keyword tdmcSDATE brightgreen
|
||||
keyword tdmcNL brightgreen
|
||||
|
||||
keyword USEWNAME brightgreen
|
||||
keyword USEWLIST brightgreen
|
||||
keyword WLIST brightgreen
|
||||
keyword USEBNAME brightgreen
|
||||
keyword USEBLIST brightgreen
|
||||
keyword BLIST brightgreen
|
||||
keyword USETNAME brightgreen
|
||||
keyword USETLIST brightgreen
|
||||
keyword TLIST brightgreen
|
||||
keyword USEHNAME brightgreen
|
||||
keyword USEHLIST brightgreen
|
||||
keyword HLIST brightgreen
|
||||
keyword USEWNAME brightgreen
|
||||
keyword USEWLIST brightgreen
|
||||
keyword WLIST brightgreen
|
||||
keyword USEBNAME brightgreen
|
||||
keyword USEBLIST brightgreen
|
||||
keyword BLIST brightgreen
|
||||
keyword USETNAME brightgreen
|
||||
keyword USETLIST brightgreen
|
||||
keyword TLIST brightgreen
|
||||
keyword USEHNAME brightgreen
|
||||
keyword USEHLIST brightgreen
|
||||
keyword HLIST brightgreen
|
||||
|
||||
keyword RESULT brightgreen
|
||||
keyword PACKAGE brightgreen
|
||||
keyword SUB brightgreen
|
||||
keyword COUNT brightgreen
|
||||
keyword NEWMSG brightgreen
|
||||
keyword OLDMSG brightgreen
|
||||
keyword TMPMSG brightgreen
|
||||
keyword ADDR_ME brightgreen
|
||||
keyword ADDR_LISTS brightgreen
|
||||
keyword HIT brightgreen
|
||||
keyword STRING brightgreen
|
||||
keyword STRG brightgreen
|
||||
keyword SHOW_FILTER brightgreen
|
||||
keyword TMPSPAM brightgreen
|
||||
keyword RESULT brightgreen
|
||||
keyword PACKAGE brightgreen
|
||||
keyword SUB brightgreen
|
||||
keyword COUNT brightgreen
|
||||
keyword NEWMSG brightgreen
|
||||
keyword OLDMSG brightgreen
|
||||
keyword TMPMSG brightgreen
|
||||
keyword ADDR_ME brightgreen
|
||||
keyword ADDR_LISTS brightgreen
|
||||
keyword HIT brightgreen
|
||||
keyword STRING brightgreen
|
||||
keyword STRG brightgreen
|
||||
keyword SHOW_FILTER brightgreen
|
||||
keyword TMPSPAM brightgreen
|
||||
|
||||
##############################################################
|
||||
# Vars used by other recipes from the procmail mailinglist
|
||||
keyword PROCMAIL_DIR brightgreen
|
||||
keyword PROCMAILDIR brightgreen
|
||||
keyword PROCDIR brightgreen
|
||||
keyword OCTET brightgreen
|
||||
keyword DOTQUAD brightgreen
|
||||
keyword RCVD brightgreen
|
||||
keyword RCVD_COUNT brightgreen
|
||||
keyword FROMX brightgreen
|
||||
keyword RETX brightgreen
|
||||
keyword GPGFROM brightgreen
|
||||
keyword GPGGET brightgreen
|
||||
keyword GPGEXP brightgreen
|
||||
keyword EMAIL brightgreen
|
||||
keyword DUMMY_EXEC brightgreen
|
||||
keyword DUMMY brightgreen
|
||||
keyword TMPVAL brightgreen
|
||||
keyword TMPVAR brightgreen
|
||||
keyword HOMEDIR brightgreen
|
||||
keyword NULL brightgreen
|
||||
keyword whole H_From* brightgreen
|
||||
keyword PROCMAIL_DIR brightgreen
|
||||
keyword PROCMAILDIR brightgreen
|
||||
keyword PROCDIR brightgreen
|
||||
keyword OCTET brightgreen
|
||||
keyword DOTQUAD brightgreen
|
||||
keyword RCVD brightgreen
|
||||
keyword RCVD_COUNT brightgreen
|
||||
keyword FROMX brightgreen
|
||||
keyword RETX brightgreen
|
||||
keyword GPGFROM brightgreen
|
||||
keyword GPGGET brightgreen
|
||||
keyword GPGEXP brightgreen
|
||||
keyword EMAIL brightgreen
|
||||
keyword DUMMY_EXEC brightgreen
|
||||
keyword DUMMY brightgreen
|
||||
keyword TMPVAL brightgreen
|
||||
keyword TMPVAR brightgreen
|
||||
keyword HOMEDIR brightgreen
|
||||
keyword NULL brightgreen
|
||||
keyword whole H_From* brightgreen
|
||||
|
||||
keyword whole on red
|
||||
keyword whole true red
|
||||
keyword whole yes red
|
||||
keyword whole off red
|
||||
keyword whole false red
|
||||
keyword whole no red
|
||||
keyword whole all red
|
||||
keyword whole on red
|
||||
keyword whole true red
|
||||
keyword whole yes red
|
||||
keyword whole off red
|
||||
keyword whole false red
|
||||
keyword whole no red
|
||||
keyword whole all red
|
||||
|
||||
keyword whole :0*\n black magenta
|
||||
keyword whole \**\n cyan
|
||||
keyword whole :0*\n black magenta
|
||||
keyword whole \**\n cyan
|
||||
|
||||
keyword whole |*\n yellow
|
||||
keyword whole !*\n brightblue
|
||||
keyword whole |*\n yellow
|
||||
keyword whole !*\n brightblue
|
||||
|
||||
keyword ${*} brightgreen
|
||||
keyword ${*} brightgreen
|
||||
|
||||
keyword ` brightred
|
||||
keyword { brightcyan
|
||||
keyword } brightcyan
|
||||
keyword ` brightred
|
||||
keyword { brightcyan
|
||||
keyword } brightcyan
|
||||
|
||||
context " " green
|
||||
keyword ${*} brightgreen
|
||||
context " " green
|
||||
keyword ${*} brightgreen
|
||||
|
||||
context exclusive ` ` lightgrey black
|
||||
keyword '*' green
|
||||
keyword " green
|
||||
keyword \\` brightred
|
||||
keyword ; brightcyan
|
||||
keyword $(*) brightgreen
|
||||
keyword ${*} brightgreen
|
||||
keyword { brightcyan
|
||||
keyword } brightcyan
|
||||
keyword [ brightcyan
|
||||
keyword ] brightcyan
|
||||
keyword ( brightcyan
|
||||
keyword ) brightcyan
|
||||
keyword -eq brightcyan
|
||||
keyword -ne brightcyan
|
||||
context exclusive ` ` lightgrey black
|
||||
keyword '*' green
|
||||
keyword " green
|
||||
keyword \\` brightred
|
||||
keyword ; brightcyan
|
||||
keyword $(*) brightgreen
|
||||
keyword ${*} brightgreen
|
||||
keyword { brightcyan
|
||||
keyword } brightcyan
|
||||
keyword [ brightcyan
|
||||
keyword ] brightcyan
|
||||
keyword ( brightcyan
|
||||
keyword ) brightcyan
|
||||
keyword -eq brightcyan
|
||||
keyword -ne brightcyan
|
||||
|
||||
keyword wholeright $+ brightgreen
|
||||
keyword $ brightgreen
|
||||
keyword wholeright $+ brightgreen
|
||||
keyword $ brightgreen
|
||||
|
||||
keyword >/dev/null brightblue
|
||||
keyword 2>&1 brightblue
|
||||
keyword 1>&2 brightblue
|
||||
keyword >/dev/null brightblue
|
||||
keyword 2>&1 brightblue
|
||||
keyword 1>&2 brightblue
|
||||
|
||||
keyword && brightblue
|
||||
keyword || brightblue
|
||||
keyword >> brightblue
|
||||
keyword && brightblue
|
||||
keyword || brightblue
|
||||
keyword >> brightblue
|
||||
|
||||
keyword ES=$? brightgreen
|
||||
keyword ES=$? brightgreen
|
||||
|
||||
wholechars abcdefghijklmnopqrstuvwxyzABCDEFGHIJKLMNOPQRSTUVWXYZ0123456789-._
|
||||
|
||||
keyword | cyan
|
||||
keyword whole --* cyan
|
||||
keyword whole -*\s cyan
|
||||
keyword whole \+* cyan
|
||||
keyword whole \** cyan
|
||||
keyword whole /* cyan
|
||||
keyword whole *: cyan
|
||||
keyword | cyan
|
||||
keyword whole --* cyan
|
||||
keyword whole -*\s cyan
|
||||
keyword whole \+* cyan
|
||||
keyword whole \** cyan
|
||||
keyword whole /* cyan
|
||||
keyword whole *: cyan
|
||||
|
||||
keyword whole bash cyan
|
||||
keyword whole bc cyan
|
||||
keyword whole cp cyan
|
||||
keyword whole cut cyan
|
||||
keyword whole date cyan
|
||||
keyword whole echo cyan
|
||||
keyword whole egrep cyan
|
||||
keyword whole env cyan
|
||||
keyword whole expand cyan
|
||||
keyword whole formail cyan
|
||||
keyword whole gpg cyan
|
||||
keyword whole grep cyan
|
||||
keyword whole head cyan
|
||||
keyword whole killall cyan
|
||||
keyword whole md5sum cyan
|
||||
keyword whole mimedecode cyan
|
||||
keyword whole mkdir cyan
|
||||
keyword whole mv cyan
|
||||
keyword whole rm cyan
|
||||
keyword whole sed cyan
|
||||
keyword whole sendmail cyan
|
||||
keyword whole sort cyan
|
||||
keyword whole tail cyan
|
||||
keyword whole tddebbtsd cyan
|
||||
keyword whole tdnetsound cyan
|
||||
keyword whole test cyan
|
||||
keyword whole touch cyan
|
||||
keyword whole tr cyan
|
||||
keyword whole wc cyan
|
||||
keyword whole which cyan
|
||||
keyword whole bash cyan
|
||||
keyword whole bc cyan
|
||||
keyword whole cp cyan
|
||||
keyword whole cut cyan
|
||||
keyword whole date cyan
|
||||
keyword whole echo cyan
|
||||
keyword whole egrep cyan
|
||||
keyword whole env cyan
|
||||
keyword whole expand cyan
|
||||
keyword whole formail cyan
|
||||
keyword whole gpg cyan
|
||||
keyword whole grep cyan
|
||||
keyword whole head cyan
|
||||
keyword whole killall cyan
|
||||
keyword whole md5sum cyan
|
||||
keyword whole mimedecode cyan
|
||||
keyword whole mkdir cyan
|
||||
keyword whole mv cyan
|
||||
keyword whole rm cyan
|
||||
keyword whole sed cyan
|
||||
keyword whole sendmail cyan
|
||||
keyword whole sort cyan
|
||||
keyword whole tail cyan
|
||||
keyword whole tddebbtsd cyan
|
||||
keyword whole tdnetsound cyan
|
||||
keyword whole test cyan
|
||||
keyword whole touch cyan
|
||||
keyword whole tr cyan
|
||||
keyword whole wc cyan
|
||||
keyword whole which cyan
|
||||
|
||||
keyword whole if yellow
|
||||
keyword whole then yellow
|
||||
keyword whole else yellow
|
||||
keyword whole fi yellow
|
||||
keyword whole for yellow
|
||||
keyword whole in yellow
|
||||
keyword whole do yellow
|
||||
keyword whole done yellow
|
||||
keyword whole if yellow
|
||||
keyword whole then yellow
|
||||
keyword whole else yellow
|
||||
keyword whole fi yellow
|
||||
keyword whole for yellow
|
||||
keyword whole in yellow
|
||||
keyword whole do yellow
|
||||
keyword whole done yellow
|
||||
|
@ -1,6 +1,6 @@
|
||||
# Java properties file syntax highlighting
|
||||
# Author: Tomas Horsky <tomas@thorsky.info>
|
||||
#
|
||||
#
|
||||
# http://java.sun.com/j2se/1.4.2/docs/api/java/util/Properties.html#load(java.io.InputStream)
|
||||
# - Does not support escapes in keys: \ , \=, \:
|
||||
# - Additionally highlights numbers, HTML color codes (#AABBCC), some sort of "variable references" (${ref.to.other.key}), and more
|
||||
@ -12,12 +12,12 @@ context default lightgray
|
||||
keyword : yellow
|
||||
# Value continuation (first line)
|
||||
keyword \\\n yellow
|
||||
|
||||
|
||||
keyword ${*} brightgreen
|
||||
keyword \\u\{0123456789ABCDEFabcdef\}\{0123456789ABCDEFabcdef\}\{0123456789ABCDEFabcdef\}\{0123456789ABCDEFabcdef\} magenta
|
||||
keyword whole \{-0123456789\}\[0123456789\] brightcyan
|
||||
keyword whole #\{0123456789ABCDEFabcdef\}\[0123456789ABCDEFabcdef\] green
|
||||
|
||||
|
||||
keyword whole true white
|
||||
keyword whole false white
|
||||
|
||||
@ -25,7 +25,7 @@ context default lightgray
|
||||
context exclusive \\\n \n lightgray
|
||||
keyword linestart \{\s\t\}\[\s\t\] lightgray black
|
||||
keyword \\\n yellow
|
||||
|
||||
|
||||
keyword ${*} brightgreen
|
||||
keyword \\u\{0123456789ABCDEFabcdef\}\{0123456789ABCDEFabcdef\}\{0123456789ABCDEFabcdef\}\{0123456789ABCDEFabcdef\} magenta
|
||||
keyword whole \{-0123456789\}\[0123456789\] brightcyan
|
||||
|
@ -206,38 +206,38 @@ context default
|
||||
keyword whole __+__ brightred
|
||||
|
||||
context """ """ green
|
||||
keyword \\" brightgreen
|
||||
keyword \\\n brightgreen
|
||||
keyword %% brightgreen
|
||||
keyword %\[#0\s-\+,\]\[0123456789\]\[.\]\[0123456789\]\[L\]\{eEfgGoxX\} brightgreen
|
||||
keyword %\[0\s-\+,\]\[0123456789\]\[.\]\[0123456789\]\[hl\]\{diu\} brightgreen
|
||||
keyword %\[hl\]n brightgreen
|
||||
keyword %\[.\]\[0123456789\]s brightgreen
|
||||
keyword %[*] brightgreen
|
||||
keyword %c brightgreen
|
||||
keyword \\\{0123\}\{01234567\}\{01234567\} brightgreen
|
||||
keyword \\\\{01234567\}\{01234567\} brightgreen
|
||||
keyword \\\\{01234567\} brightgreen
|
||||
keyword \\\\ brightgreen
|
||||
keyword \\' brightgreen
|
||||
keyword \\\{abtnvfr\} brightgreen
|
||||
keyword \\" brightgreen
|
||||
keyword \\\n brightgreen
|
||||
keyword %% brightgreen
|
||||
keyword %\[#0\s-\+,\]\[0123456789\]\[.\]\[0123456789\]\[L\]\{eEfgGoxX\} brightgreen
|
||||
keyword %\[0\s-\+,\]\[0123456789\]\[.\]\[0123456789\]\[hl\]\{diu\} brightgreen
|
||||
keyword %\[hl\]n brightgreen
|
||||
keyword %\[.\]\[0123456789\]s brightgreen
|
||||
keyword %[*] brightgreen
|
||||
keyword %c brightgreen
|
||||
keyword \\\{0123\}\{01234567\}\{01234567\} brightgreen
|
||||
keyword \\\\{01234567\}\{01234567\} brightgreen
|
||||
keyword \\\\{01234567\} brightgreen
|
||||
keyword \\\\ brightgreen
|
||||
keyword \\' brightgreen
|
||||
keyword \\\{abtnvfr\} brightgreen
|
||||
|
||||
context ''' ''' green
|
||||
keyword \\" brightgreen
|
||||
keyword \\\n brightgreen
|
||||
keyword %% brightgreen
|
||||
keyword %\[#0\s-\+,\]\[0123456789\]\[.\]\[0123456789\]\[L\]\{eEfgGoxX\} brightgreen
|
||||
keyword %\[0\s-\+,\]\[0123456789\]\[.\]\[0123456789\]\[hl\]\{diu\} brightgreen
|
||||
keyword %\[hl\]n brightgreen
|
||||
keyword %\[.\]\[0123456789\]s brightgreen
|
||||
keyword %[*] brightgreen
|
||||
keyword %c brightgreen
|
||||
keyword \\\{0123\}\{01234567\}\{01234567\} brightgreen
|
||||
keyword \\\\{01234567\}\{01234567\} brightgreen
|
||||
keyword \\\\{01234567\} brightgreen
|
||||
keyword \\\\ brightgreen
|
||||
keyword \\' brightgreen
|
||||
keyword \\\{abtnvfr\} brightgreen
|
||||
keyword \\" brightgreen
|
||||
keyword \\\n brightgreen
|
||||
keyword %% brightgreen
|
||||
keyword %\[#0\s-\+,\]\[0123456789\]\[.\]\[0123456789\]\[L\]\{eEfgGoxX\} brightgreen
|
||||
keyword %\[0\s-\+,\]\[0123456789\]\[.\]\[0123456789\]\[hl\]\{diu\} brightgreen
|
||||
keyword %\[hl\]n brightgreen
|
||||
keyword %\[.\]\[0123456789\]s brightgreen
|
||||
keyword %[*] brightgreen
|
||||
keyword %c brightgreen
|
||||
keyword \\\{0123\}\{01234567\}\{01234567\} brightgreen
|
||||
keyword \\\\{01234567\}\{01234567\} brightgreen
|
||||
keyword \\\\{01234567\} brightgreen
|
||||
keyword \\\\ brightgreen
|
||||
keyword \\' brightgreen
|
||||
keyword \\\{abtnvfr\} brightgreen
|
||||
|
||||
context # \n brown
|
||||
keyword coding\{=:\}\[\s\]\[-0123456789ABCDEFGHIJKLMNOPQRSTUVWXYZ_abcdefghijklmnopqrstuvwxyz\] brightmagenta
|
||||
|
@ -71,7 +71,7 @@ context default
|
||||
keyword whole env red
|
||||
keyword whole ENV red
|
||||
|
||||
#Braces &
|
||||
#Braces &
|
||||
|
||||
keyword { brightcyan
|
||||
keyword } brightcyan
|
||||
@ -210,7 +210,7 @@ context default
|
||||
keyword whole sleep yellow
|
||||
|
||||
#this works only with label EOF :-(
|
||||
#context exclusive whole <<\[\s\]EOF EOF green
|
||||
#context exclusive whole <<\[\s\]EOF EOF green
|
||||
|
||||
context # \n brown
|
||||
spellcheck
|
||||
|
@ -46,7 +46,7 @@ context default
|
||||
keyword whole and brightmagenta
|
||||
keyword whole to brightmagenta
|
||||
keyword whole by brightmagenta
|
||||
|
||||
|
||||
keyword whole Smalltalk brightgreen
|
||||
keyword whole Object brightgreen
|
||||
keyword whole Autoload brightgreen
|
||||
|
@ -9,9 +9,9 @@ context default
|
||||
keyword whole Build\{Rr\}equires: green
|
||||
keyword whole Conflicts: green
|
||||
keyword whole Copyright: white
|
||||
keyword whole Description: green
|
||||
keyword whole Distribution: green
|
||||
keyword whole Doc\{Dd\}ir: green
|
||||
keyword whole Description: green
|
||||
keyword whole Distribution: green
|
||||
keyword whole Doc\{Dd\}ir: green
|
||||
keyword whole Epoch: green
|
||||
keyword whole Exclude\{Aa\}rch: green
|
||||
keyword whole Exclusive\{Aa\}rch: green
|
||||
@ -19,18 +19,18 @@ context default
|
||||
keyword whole Group: green
|
||||
keyword whole Icon: green
|
||||
keyword whole License: green
|
||||
keyword whole Name: green
|
||||
keyword whole Name: green
|
||||
keyword whole NoSource\[0123456789\]: green
|
||||
keyword whole Obsoletes: green
|
||||
keyword whole Packager: green
|
||||
keyword whole Patch\[0123456789\]: green
|
||||
keyword whole Patch\[0123456789\]: green
|
||||
keyword whole Prefix: green
|
||||
keyword whole Pre\{Rr\}eq: green
|
||||
keyword whole Provides: green
|
||||
keyword whole Release: green
|
||||
keyword whole Requires: green
|
||||
keyword whole Pre\{Rr\}eq: green
|
||||
keyword whole Provides: green
|
||||
keyword whole Release: green
|
||||
keyword whole Requires: green
|
||||
keyword whole Requires(\[abcdefghijklmnopqrstuvwxyz\]): green
|
||||
keyword whole Root: green
|
||||
keyword whole Root: green
|
||||
keyword whole Serial: white
|
||||
keyword whole Source\[0123456789\]: green
|
||||
keyword whole Suggests: green
|
||||
@ -71,11 +71,11 @@ context default
|
||||
keyword %{ brightcyan
|
||||
keyword { brightcyan
|
||||
keyword } brightcyan
|
||||
|
||||
|
||||
keyword whole %triggerin magenta
|
||||
keyword whole %triggerun magenta
|
||||
keyword whole %triggerun magenta
|
||||
|
||||
|
||||
keyword \\\n yellow
|
||||
keyword wholeright $+ brightgreen
|
||||
keyword ftp://+ brightgreen
|
||||
@ -89,187 +89,187 @@ context default
|
||||
keyword whole SOURCE\[0123456789\] cyan
|
||||
|
||||
context Group( ): green
|
||||
keyword whole af yellow
|
||||
keyword whole az yellow
|
||||
keyword whole bg yellow
|
||||
keyword whole br yellow
|
||||
keyword whole ca yellow
|
||||
keyword whole ca_ES yellow
|
||||
keyword whole cs yellow
|
||||
keyword whole cs_CZ yellow
|
||||
keyword whole da yellow
|
||||
keyword whole da_DK yellow
|
||||
keyword whole de yellow
|
||||
keyword whole de_AT yellow
|
||||
keyword whole de_DE yellow
|
||||
keyword whole el yellow
|
||||
keyword whole el_GR yellow
|
||||
keyword whole en yellow
|
||||
keyword whole en_GB yellow
|
||||
keyword whole eo yellow
|
||||
keyword whole es yellow
|
||||
keyword whole es_ES yellow
|
||||
keyword whole et yellow
|
||||
keyword whole et_EE yellow
|
||||
keyword whole eu yellow
|
||||
keyword whole fi yellow
|
||||
keyword whole fi_FI yellow
|
||||
keyword whole fr yellow
|
||||
keyword whole fr_FR yellow
|
||||
keyword whole gl yellow
|
||||
keyword whole gl_ES yellow
|
||||
keyword whole gr yellow
|
||||
keyword whole he yellow
|
||||
keyword whole he_IL yellow
|
||||
keyword whole hi yellow
|
||||
keyword whole hr yellow
|
||||
keyword whole hr_HR yellow
|
||||
keyword whole hu yellow
|
||||
keyword whole hu_HU yellow
|
||||
keyword whole id yellow
|
||||
keyword whole is yellow
|
||||
keyword whole is_IS yellow
|
||||
keyword whole it yellow
|
||||
keyword whole it_IT yellow
|
||||
keyword whole ja yellow
|
||||
keyword whole ja_JP yellow
|
||||
keyword whole ja_JP.SJIS yellow
|
||||
keyword whole ko yellow
|
||||
keyword whole ko_KR yellow
|
||||
keyword whole lt yellow
|
||||
keyword whole lt_LT yellow
|
||||
keyword whole lv yellow
|
||||
keyword whole ms yellow
|
||||
keyword whole nb yellow
|
||||
keyword whole nl yellow
|
||||
keyword whole nl_NL yellow
|
||||
keyword whole nn yellow
|
||||
keyword whole nn_NO yellow
|
||||
keyword whole no yellow
|
||||
keyword whole no_NO yellow
|
||||
keyword whole pl yellow
|
||||
keyword whole pl_PL yellow
|
||||
keyword whole pt yellow
|
||||
keyword whole pt_BR yellow
|
||||
keyword whole pt_PT yellow
|
||||
keyword whole ro yellow
|
||||
keyword whole ro_RO yellow
|
||||
keyword whole ru yellow
|
||||
keyword whole ru_RU yellow
|
||||
keyword whole sk yellow
|
||||
keyword whole sk_SK yellow
|
||||
keyword whole sl yellow
|
||||
keyword whole sl_SI yellow
|
||||
keyword whole sr yellow
|
||||
keyword whole sv yellow
|
||||
keyword whole sv_SE yellow
|
||||
keyword whole ta yellow
|
||||
keyword whole th_TH yellow
|
||||
keyword whole tr yellow
|
||||
keyword whole tr_TR yellow
|
||||
keyword whole uk yellow
|
||||
keyword whole vi yellow
|
||||
keyword whole wa yellow
|
||||
keyword whole zh yellow
|
||||
keyword whole zh_CN yellow
|
||||
keyword whole zh_CN.GB2312 yellow
|
||||
keyword whole zh_TW yellow
|
||||
keyword whole zh_TW.Big5 yellow
|
||||
keyword whole af yellow
|
||||
keyword whole az yellow
|
||||
keyword whole bg yellow
|
||||
keyword whole br yellow
|
||||
keyword whole ca yellow
|
||||
keyword whole ca_ES yellow
|
||||
keyword whole cs yellow
|
||||
keyword whole cs_CZ yellow
|
||||
keyword whole da yellow
|
||||
keyword whole da_DK yellow
|
||||
keyword whole de yellow
|
||||
keyword whole de_AT yellow
|
||||
keyword whole de_DE yellow
|
||||
keyword whole el yellow
|
||||
keyword whole el_GR yellow
|
||||
keyword whole en yellow
|
||||
keyword whole en_GB yellow
|
||||
keyword whole eo yellow
|
||||
keyword whole es yellow
|
||||
keyword whole es_ES yellow
|
||||
keyword whole et yellow
|
||||
keyword whole et_EE yellow
|
||||
keyword whole eu yellow
|
||||
keyword whole fi yellow
|
||||
keyword whole fi_FI yellow
|
||||
keyword whole fr yellow
|
||||
keyword whole fr_FR yellow
|
||||
keyword whole gl yellow
|
||||
keyword whole gl_ES yellow
|
||||
keyword whole gr yellow
|
||||
keyword whole he yellow
|
||||
keyword whole he_IL yellow
|
||||
keyword whole hi yellow
|
||||
keyword whole hr yellow
|
||||
keyword whole hr_HR yellow
|
||||
keyword whole hu yellow
|
||||
keyword whole hu_HU yellow
|
||||
keyword whole id yellow
|
||||
keyword whole is yellow
|
||||
keyword whole is_IS yellow
|
||||
keyword whole it yellow
|
||||
keyword whole it_IT yellow
|
||||
keyword whole ja yellow
|
||||
keyword whole ja_JP yellow
|
||||
keyword whole ja_JP.SJIS yellow
|
||||
keyword whole ko yellow
|
||||
keyword whole ko_KR yellow
|
||||
keyword whole lt yellow
|
||||
keyword whole lt_LT yellow
|
||||
keyword whole lv yellow
|
||||
keyword whole ms yellow
|
||||
keyword whole nb yellow
|
||||
keyword whole nl yellow
|
||||
keyword whole nl_NL yellow
|
||||
keyword whole nn yellow
|
||||
keyword whole nn_NO yellow
|
||||
keyword whole no yellow
|
||||
keyword whole no_NO yellow
|
||||
keyword whole pl yellow
|
||||
keyword whole pl_PL yellow
|
||||
keyword whole pt yellow
|
||||
keyword whole pt_BR yellow
|
||||
keyword whole pt_PT yellow
|
||||
keyword whole ro yellow
|
||||
keyword whole ro_RO yellow
|
||||
keyword whole ru yellow
|
||||
keyword whole ru_RU yellow
|
||||
keyword whole sk yellow
|
||||
keyword whole sk_SK yellow
|
||||
keyword whole sl yellow
|
||||
keyword whole sl_SI yellow
|
||||
keyword whole sr yellow
|
||||
keyword whole sv yellow
|
||||
keyword whole sv_SE yellow
|
||||
keyword whole ta yellow
|
||||
keyword whole th_TH yellow
|
||||
keyword whole tr yellow
|
||||
keyword whole tr_TR yellow
|
||||
keyword whole uk yellow
|
||||
keyword whole vi yellow
|
||||
keyword whole wa yellow
|
||||
keyword whole zh yellow
|
||||
keyword whole zh_CN yellow
|
||||
keyword whole zh_CN.GB2312 yellow
|
||||
keyword whole zh_TW yellow
|
||||
keyword whole zh_TW.Big5 yellow
|
||||
|
||||
context Requires( ): green
|
||||
keyword whole post yellow
|
||||
keyword whole pre yellow
|
||||
keyword whole postun yellow
|
||||
keyword whole preun yellow
|
||||
keyword , lightgray
|
||||
keyword whole post yellow
|
||||
keyword whole pre yellow
|
||||
keyword whole postun yellow
|
||||
keyword whole preun yellow
|
||||
keyword , lightgray
|
||||
|
||||
context Summary( ): green
|
||||
keyword whole af yellow
|
||||
keyword whole az yellow
|
||||
keyword whole bg yellow
|
||||
keyword whole br yellow
|
||||
keyword whole ca yellow
|
||||
keyword whole ca_ES yellow
|
||||
keyword whole cs yellow
|
||||
keyword whole cs_CZ yellow
|
||||
keyword whole da yellow
|
||||
keyword whole da_DK yellow
|
||||
keyword whole de yellow
|
||||
keyword whole de_AT yellow
|
||||
keyword whole de_DE yellow
|
||||
keyword whole el yellow
|
||||
keyword whole el_GR yellow
|
||||
keyword whole en yellow
|
||||
keyword whole en_GB yellow
|
||||
keyword whole eo yellow
|
||||
keyword whole es yellow
|
||||
keyword whole es_ES yellow
|
||||
keyword whole et yellow
|
||||
keyword whole et_EE yellow
|
||||
keyword whole eu yellow
|
||||
keyword whole fi yellow
|
||||
keyword whole fi_FI yellow
|
||||
keyword whole fr yellow
|
||||
keyword whole fr_FR yellow
|
||||
keyword whole gl yellow
|
||||
keyword whole gl_ES yellow
|
||||
keyword whole gr yellow
|
||||
keyword whole he yellow
|
||||
keyword whole he_IL yellow
|
||||
keyword whole hi yellow
|
||||
keyword whole hr yellow
|
||||
keyword whole hr_HR yellow
|
||||
keyword whole hu yellow
|
||||
keyword whole hu_HU yellow
|
||||
keyword whole id yellow
|
||||
keyword whole is yellow
|
||||
keyword whole is_IS yellow
|
||||
keyword whole it yellow
|
||||
keyword whole it_IT yellow
|
||||
keyword whole ja yellow
|
||||
keyword whole ja_JP yellow
|
||||
keyword whole ja_JP.SJIS yellow
|
||||
keyword whole ko yellow
|
||||
keyword whole ko_KR yellow
|
||||
keyword whole lt yellow
|
||||
keyword whole lt_LT yellow
|
||||
keyword whole lv yellow
|
||||
keyword whole ms yellow
|
||||
keyword whole nb yellow
|
||||
keyword whole nl yellow
|
||||
keyword whole nl_NL yellow
|
||||
keyword whole nn yellow
|
||||
keyword whole nn_NO yellow
|
||||
keyword whole no yellow
|
||||
keyword whole no_NO yellow
|
||||
keyword whole pl yellow
|
||||
keyword whole pl_PL yellow
|
||||
keyword whole pt yellow
|
||||
keyword whole pt_BR yellow
|
||||
keyword whole pt_PT yellow
|
||||
keyword whole ro yellow
|
||||
keyword whole ro_RO yellow
|
||||
keyword whole ru yellow
|
||||
keyword whole ru_RU yellow
|
||||
keyword whole sk yellow
|
||||
keyword whole sk_SK yellow
|
||||
keyword whole sl yellow
|
||||
keyword whole sl_SI yellow
|
||||
keyword whole sr yellow
|
||||
keyword whole sv yellow
|
||||
keyword whole sv_SE yellow
|
||||
keyword whole ta yellow
|
||||
keyword whole th_TH yellow
|
||||
keyword whole tr yellow
|
||||
keyword whole tr_TR yellow
|
||||
keyword whole uk yellow
|
||||
keyword whole vi yellow
|
||||
keyword whole wa yellow
|
||||
keyword whole zh yellow
|
||||
keyword whole zh_CN yellow
|
||||
keyword whole zh_CN.GB2312 yellow
|
||||
keyword whole zh_TW yellow
|
||||
keyword whole zh_TW.Big5 yellow
|
||||
keyword whole af yellow
|
||||
keyword whole az yellow
|
||||
keyword whole bg yellow
|
||||
keyword whole br yellow
|
||||
keyword whole ca yellow
|
||||
keyword whole ca_ES yellow
|
||||
keyword whole cs yellow
|
||||
keyword whole cs_CZ yellow
|
||||
keyword whole da yellow
|
||||
keyword whole da_DK yellow
|
||||
keyword whole de yellow
|
||||
keyword whole de_AT yellow
|
||||
keyword whole de_DE yellow
|
||||
keyword whole el yellow
|
||||
keyword whole el_GR yellow
|
||||
keyword whole en yellow
|
||||
keyword whole en_GB yellow
|
||||
keyword whole eo yellow
|
||||
keyword whole es yellow
|
||||
keyword whole es_ES yellow
|
||||
keyword whole et yellow
|
||||
keyword whole et_EE yellow
|
||||
keyword whole eu yellow
|
||||
keyword whole fi yellow
|
||||
keyword whole fi_FI yellow
|
||||
keyword whole fr yellow
|
||||
keyword whole fr_FR yellow
|
||||
keyword whole gl yellow
|
||||
keyword whole gl_ES yellow
|
||||
keyword whole gr yellow
|
||||
keyword whole he yellow
|
||||
keyword whole he_IL yellow
|
||||
keyword whole hi yellow
|
||||
keyword whole hr yellow
|
||||
keyword whole hr_HR yellow
|
||||
keyword whole hu yellow
|
||||
keyword whole hu_HU yellow
|
||||
keyword whole id yellow
|
||||
keyword whole is yellow
|
||||
keyword whole is_IS yellow
|
||||
keyword whole it yellow
|
||||
keyword whole it_IT yellow
|
||||
keyword whole ja yellow
|
||||
keyword whole ja_JP yellow
|
||||
keyword whole ja_JP.SJIS yellow
|
||||
keyword whole ko yellow
|
||||
keyword whole ko_KR yellow
|
||||
keyword whole lt yellow
|
||||
keyword whole lt_LT yellow
|
||||
keyword whole lv yellow
|
||||
keyword whole ms yellow
|
||||
keyword whole nb yellow
|
||||
keyword whole nl yellow
|
||||
keyword whole nl_NL yellow
|
||||
keyword whole nn yellow
|
||||
keyword whole nn_NO yellow
|
||||
keyword whole no yellow
|
||||
keyword whole no_NO yellow
|
||||
keyword whole pl yellow
|
||||
keyword whole pl_PL yellow
|
||||
keyword whole pt yellow
|
||||
keyword whole pt_BR yellow
|
||||
keyword whole pt_PT yellow
|
||||
keyword whole ro yellow
|
||||
keyword whole ro_RO yellow
|
||||
keyword whole ru yellow
|
||||
keyword whole ru_RU yellow
|
||||
keyword whole sk yellow
|
||||
keyword whole sk_SK yellow
|
||||
keyword whole sl yellow
|
||||
keyword whole sl_SI yellow
|
||||
keyword whole sr yellow
|
||||
keyword whole sv yellow
|
||||
keyword whole sv_SE yellow
|
||||
keyword whole ta yellow
|
||||
keyword whole th_TH yellow
|
||||
keyword whole tr yellow
|
||||
keyword whole tr_TR yellow
|
||||
keyword whole uk yellow
|
||||
keyword whole vi yellow
|
||||
keyword whole wa yellow
|
||||
keyword whole zh yellow
|
||||
keyword whole zh_CN yellow
|
||||
keyword whole zh_CN.GB2312 yellow
|
||||
keyword whole zh_TW yellow
|
||||
keyword whole zh_TW.Big5 yellow
|
||||
|
||||
context linestart # \n brown
|
||||
context %( ) brightcyan
|
||||
|
@ -1,147 +1,147 @@
|
||||
wholechars abcdefghijklmnopqrstuvwxyzABCDEFGHIJKLMNOPQRSTUVWXYZ0123456789._
|
||||
|
||||
context default
|
||||
keyword whole after yellow
|
||||
keyword whole append yellow
|
||||
keyword whole array yellow
|
||||
keyword whole bgerror yellow
|
||||
keyword whole binary yellow
|
||||
keyword whole body yellow
|
||||
keyword whole break yellow
|
||||
keyword whole case yellow
|
||||
keyword whole catch yellow
|
||||
keyword whole cd yellow
|
||||
keyword whole class yellow
|
||||
keyword whole clock yellow
|
||||
keyword whole close yellow
|
||||
keyword whole concat yellow
|
||||
keyword whole constructor yellow
|
||||
keyword whole continue yellow
|
||||
keyword whole dde yellow
|
||||
keyword whole default yellow
|
||||
keyword whole delete yellow
|
||||
keyword whole destructor yellow
|
||||
keyword whole else yellow
|
||||
keyword whole elseif yellow
|
||||
keyword whole encoding yellow
|
||||
keyword whole end yellow
|
||||
keyword whole eof yellow
|
||||
keyword whole error yellow
|
||||
keyword whole eval yellow
|
||||
keyword whole exec yellow
|
||||
keyword whole exit yellow
|
||||
keyword whole expr yellow
|
||||
keyword whole fblocked yellow
|
||||
keyword whole fconfigure yellow
|
||||
keyword whole fcopy yellow
|
||||
keyword whole file yellow
|
||||
keyword whole fileevent yellow
|
||||
keyword whole filename yellow
|
||||
keyword whole flush yellow
|
||||
keyword whole for yellow
|
||||
keyword whole foreach yellow
|
||||
keyword whole format yellow
|
||||
keyword whole gets yellow
|
||||
keyword whole glob yellow
|
||||
keyword whole global yellow
|
||||
keyword whole history yellow
|
||||
keyword whole http yellow
|
||||
keyword whole if yellow
|
||||
keyword whole incr yellow
|
||||
keyword whole info yellow
|
||||
keyword whole inherit yellow
|
||||
keyword whole insert yellow
|
||||
keyword whole interp yellow
|
||||
keyword whole join yellow
|
||||
keyword whole lappend yellow
|
||||
keyword whole library yellow
|
||||
keyword whole lindex yellow
|
||||
keyword whole linsert yellow
|
||||
keyword whole list yellow
|
||||
keyword whole llength yellow
|
||||
keyword whole load yellow
|
||||
keyword whole lrange yellow
|
||||
keyword whole lreplace yellow
|
||||
keyword whole lsearch yellow
|
||||
keyword whole lset yellow
|
||||
keyword whole lsort yellow
|
||||
keyword whole memory yellow
|
||||
keyword whole method yellow
|
||||
keyword whole msgcat yellow
|
||||
keyword whole namespace yellow
|
||||
keyword whole object yellow
|
||||
keyword whole open yellow
|
||||
keyword whole package yellow
|
||||
keyword whole packagens yellow
|
||||
keyword whole pid yellow
|
||||
keyword whole pkgMkIndex yellow
|
||||
keyword whole proc yellow
|
||||
keyword whole protected yellow
|
||||
keyword whole public yellow
|
||||
keyword whole puts yellow
|
||||
keyword whole pwd yellow
|
||||
keyword whole re_syntax yellow
|
||||
keyword whole read yellow
|
||||
keyword whole regexp yellow
|
||||
keyword whole registry yellow
|
||||
keyword whole regsub yellow
|
||||
keyword whole rename yellow
|
||||
keyword whole resource yellow
|
||||
keyword whole return yellow
|
||||
keyword whole safe yellow
|
||||
keyword whole scan yellow
|
||||
keyword whole seek yellow
|
||||
keyword whole set yellow
|
||||
keyword whole socket yellow
|
||||
keyword whole source yellow
|
||||
keyword whole split yellow
|
||||
keyword whole string yellow
|
||||
keyword whole subst yellow
|
||||
keyword whole switch yellow
|
||||
keyword whole tcltest yellow
|
||||
keyword whole tclvars yellow
|
||||
keyword whole tell yellow
|
||||
keyword whole time yellow
|
||||
keyword whole then yellow
|
||||
keyword whole trace yellow
|
||||
keyword whole unknown yellow
|
||||
keyword whole unset yellow
|
||||
keyword whole update yellow
|
||||
keyword whole uplevel yellow
|
||||
keyword whole upvar yellow
|
||||
keyword whole variable yellow
|
||||
keyword whole vwait yellow
|
||||
keyword whole while yellow
|
||||
keyword whole after yellow
|
||||
keyword whole append yellow
|
||||
keyword whole array yellow
|
||||
keyword whole bgerror yellow
|
||||
keyword whole binary yellow
|
||||
keyword whole body yellow
|
||||
keyword whole break yellow
|
||||
keyword whole case yellow
|
||||
keyword whole catch yellow
|
||||
keyword whole cd yellow
|
||||
keyword whole class yellow
|
||||
keyword whole clock yellow
|
||||
keyword whole close yellow
|
||||
keyword whole concat yellow
|
||||
keyword whole constructor yellow
|
||||
keyword whole continue yellow
|
||||
keyword whole dde yellow
|
||||
keyword whole default yellow
|
||||
keyword whole delete yellow
|
||||
keyword whole destructor yellow
|
||||
keyword whole else yellow
|
||||
keyword whole elseif yellow
|
||||
keyword whole encoding yellow
|
||||
keyword whole end yellow
|
||||
keyword whole eof yellow
|
||||
keyword whole error yellow
|
||||
keyword whole eval yellow
|
||||
keyword whole exec yellow
|
||||
keyword whole exit yellow
|
||||
keyword whole expr yellow
|
||||
keyword whole fblocked yellow
|
||||
keyword whole fconfigure yellow
|
||||
keyword whole fcopy yellow
|
||||
keyword whole file yellow
|
||||
keyword whole fileevent yellow
|
||||
keyword whole filename yellow
|
||||
keyword whole flush yellow
|
||||
keyword whole for yellow
|
||||
keyword whole foreach yellow
|
||||
keyword whole format yellow
|
||||
keyword whole gets yellow
|
||||
keyword whole glob yellow
|
||||
keyword whole global yellow
|
||||
keyword whole history yellow
|
||||
keyword whole http yellow
|
||||
keyword whole if yellow
|
||||
keyword whole incr yellow
|
||||
keyword whole info yellow
|
||||
keyword whole inherit yellow
|
||||
keyword whole insert yellow
|
||||
keyword whole interp yellow
|
||||
keyword whole join yellow
|
||||
keyword whole lappend yellow
|
||||
keyword whole library yellow
|
||||
keyword whole lindex yellow
|
||||
keyword whole linsert yellow
|
||||
keyword whole list yellow
|
||||
keyword whole llength yellow
|
||||
keyword whole load yellow
|
||||
keyword whole lrange yellow
|
||||
keyword whole lreplace yellow
|
||||
keyword whole lsearch yellow
|
||||
keyword whole lset yellow
|
||||
keyword whole lsort yellow
|
||||
keyword whole memory yellow
|
||||
keyword whole method yellow
|
||||
keyword whole msgcat yellow
|
||||
keyword whole namespace yellow
|
||||
keyword whole object yellow
|
||||
keyword whole open yellow
|
||||
keyword whole package yellow
|
||||
keyword whole packagens yellow
|
||||
keyword whole pid yellow
|
||||
keyword whole pkgMkIndex yellow
|
||||
keyword whole proc yellow
|
||||
keyword whole protected yellow
|
||||
keyword whole public yellow
|
||||
keyword whole puts yellow
|
||||
keyword whole pwd yellow
|
||||
keyword whole re_syntax yellow
|
||||
keyword whole read yellow
|
||||
keyword whole regexp yellow
|
||||
keyword whole registry yellow
|
||||
keyword whole regsub yellow
|
||||
keyword whole rename yellow
|
||||
keyword whole resource yellow
|
||||
keyword whole return yellow
|
||||
keyword whole safe yellow
|
||||
keyword whole scan yellow
|
||||
keyword whole seek yellow
|
||||
keyword whole set yellow
|
||||
keyword whole socket yellow
|
||||
keyword whole source yellow
|
||||
keyword whole split yellow
|
||||
keyword whole string yellow
|
||||
keyword whole subst yellow
|
||||
keyword whole switch yellow
|
||||
keyword whole tcltest yellow
|
||||
keyword whole tclvars yellow
|
||||
keyword whole tell yellow
|
||||
keyword whole time yellow
|
||||
keyword whole then yellow
|
||||
keyword whole trace yellow
|
||||
keyword whole unknown yellow
|
||||
keyword whole unset yellow
|
||||
keyword whole update yellow
|
||||
keyword whole uplevel yellow
|
||||
keyword whole upvar yellow
|
||||
keyword whole variable yellow
|
||||
keyword whole vwait yellow
|
||||
keyword whole while yellow
|
||||
|
||||
keyword ${+} brightgreen
|
||||
keyword ${+} brightgreen
|
||||
|
||||
wholechars abcdefghijklmnopqrstuvwxyzABCDEFGHIJKLMNOPQRSTUVWXYZ0123456789_
|
||||
|
||||
keyword wholeright $+ brightgreen
|
||||
keyword wholeright \s-\{abcdefghijklmnopqrstuvwxyzABCDEFGHIJKLMNOPQRSTUVWXYZ\}+ cyan
|
||||
keyword wholeright $+ brightgreen
|
||||
keyword wholeright \s-\{abcdefghijklmnopqrstuvwxyzABCDEFGHIJKLMNOPQRSTUVWXYZ\}+ cyan
|
||||
|
||||
keyword < yellow
|
||||
keyword > yellow
|
||||
keyword = yellow
|
||||
keyword || yellow
|
||||
keyword && yellow
|
||||
keyword != yellow
|
||||
keyword == yellow
|
||||
keyword :: yellow
|
||||
keyword { brightcyan
|
||||
keyword } brightcyan
|
||||
keyword ( brightcyan
|
||||
keyword ) brightcyan
|
||||
keyword [ brightcyan
|
||||
keyword ] brightcyan
|
||||
keyword ; brightmagenta
|
||||
keyword < yellow
|
||||
keyword > yellow
|
||||
keyword = yellow
|
||||
keyword || yellow
|
||||
keyword && yellow
|
||||
keyword != yellow
|
||||
keyword == yellow
|
||||
keyword :: yellow
|
||||
keyword { brightcyan
|
||||
keyword } brightcyan
|
||||
keyword ( brightcyan
|
||||
keyword ) brightcyan
|
||||
keyword [ brightcyan
|
||||
keyword ] brightcyan
|
||||
keyword ; brightmagenta
|
||||
|
||||
context # \n brown
|
||||
|
||||
context " " green
|
||||
keyword wholeright $+ brightgreen
|
||||
keyword \\" brightgreen
|
||||
keyword \\\n brightgreen
|
||||
keyword \\\\ brightgreen
|
||||
keyword \\' brightgreen
|
||||
keyword \\\{abtnvfr\} brightgreen
|
||||
keyword wholeright $+ brightgreen
|
||||
keyword \\" brightgreen
|
||||
keyword \\\n brightgreen
|
||||
keyword \\\\ brightgreen
|
||||
keyword \\' brightgreen
|
||||
keyword \\\{abtnvfr\} brightgreen
|
||||
|
@ -36,7 +36,7 @@ context default
|
||||
keyword whole @author yellow
|
||||
keyword whole @b yellow
|
||||
keyword whole @bullet{} yellow
|
||||
keyword whole @bye yellow
|
||||
keyword whole @bye yellow
|
||||
keyword whole @c yellow
|
||||
keyword whole @cartouche yellow
|
||||
keyword whole @center yellow
|
||||
|
@ -4,15 +4,15 @@
|
||||
# HTML entities
|
||||
#
|
||||
context default
|
||||
keyword &\[abcdefghijklmnopqrstuvwxyzABCDEFGHIJKLMNOPQRSTUVWXYZ\]; brightgreen
|
||||
keyword &#\{xX\}\[0123456789abcdefABCDEF\]; brightgreen
|
||||
keyword &#\[0123456789\]; brightgreen
|
||||
|
||||
keyword &\[abcdefghijklmnopqrstuvwxyzABCDEFGHIJKLMNOPQRSTUVWXYZ\]; brightgreen
|
||||
keyword &#\{xX\}\[0123456789abcdefABCDEF\]; brightgreen
|
||||
keyword &#\[0123456789\]; brightgreen
|
||||
|
||||
# Although TT is most often used to generate HTML, it's not *only* used for that, so assuming HTML around it may be presumptuous anyway.
|
||||
# Since cooledit doesn't support sub-context, we can't have TT *in* HTML, like <img src="[% image_url %]">
|
||||
# The best possible workaround, which still sucks, is to uncomment the line below, which will highlight any HTML tags that don't contain a '[', but without special highlight for strings, and without any HTML-specific keywords
|
||||
# keyword <\[abcdefghijklmnopqrstuvwxyzABCDEFGHIJKLMNOPQRSTUVWXYZ01234567890\s"#$%&'()*+,-.=?@\\\]^_`{|}~\]> green
|
||||
spellcheck
|
||||
spellcheck
|
||||
|
||||
# TT directives - http://template-toolkit.org/docs/manual/Directives.html
|
||||
context [% %] red black
|
||||
|
@ -10,202 +10,202 @@ context default
|
||||
|
||||
|
||||
#Preprocessor keywords
|
||||
keyword whole `resetall brightred/
|
||||
keyword whole `define brightred/
|
||||
keyword whole `timescale brightred/
|
||||
keyword whole `ifdef brightred/
|
||||
keyword whole `else brightred/
|
||||
keyword whole `endif brightred/
|
||||
keyword whole `include brightred/
|
||||
keyword whole `signed brightred/
|
||||
keyword whole `unsigned brightred/
|
||||
keyword whole `celldefine brightred/
|
||||
keyword whole `endcelldefine brightred/
|
||||
keyword whole `default_nettype brightred/
|
||||
keyword whole `unconnected_drive brightred/
|
||||
keyword whole `nounconnected_drive brightred/
|
||||
keyword whole `accelerate brightred/
|
||||
keyword whole `noaccelerate brightred/
|
||||
keyword whole `protect brightred/
|
||||
keyword whole `endprotect brightred/
|
||||
keyword whole `protected brightred/
|
||||
keyword whole `endprotected brightred/
|
||||
keyword whole `expand_vectornets brightred/
|
||||
keyword whole `noexpand_vectornets brightred/
|
||||
keyword whole `resetall brightred/
|
||||
keyword whole `define brightred/
|
||||
keyword whole `timescale brightred/
|
||||
keyword whole `ifdef brightred/
|
||||
keyword whole `else brightred/
|
||||
keyword whole `endif brightred/
|
||||
keyword whole `include brightred/
|
||||
keyword whole `signed brightred/
|
||||
keyword whole `unsigned brightred/
|
||||
keyword whole `celldefine brightred/
|
||||
keyword whole `endcelldefine brightred/
|
||||
keyword whole `default_nettype brightred/
|
||||
keyword whole `unconnected_drive brightred/
|
||||
keyword whole `nounconnected_drive brightred/
|
||||
keyword whole `accelerate brightred/
|
||||
keyword whole `noaccelerate brightred/
|
||||
keyword whole `protect brightred/
|
||||
keyword whole `endprotect brightred/
|
||||
keyword whole `protected brightred/
|
||||
keyword whole `endprotected brightred/
|
||||
keyword whole `expand_vectornets brightred/
|
||||
keyword whole `noexpand_vectornets brightred/
|
||||
keyword whole `autoexpand_vectornets brightred/
|
||||
keyword whole `remove_gatenames brightred/
|
||||
keyword whole `noremove_gatenames brightred/
|
||||
keyword whole `remove_netnames brightred/
|
||||
keyword whole `noremove_netnames brightred/
|
||||
keyword whole `remove_gatenames brightred/
|
||||
keyword whole `noremove_gatenames brightred/
|
||||
keyword whole `remove_netnames brightred/
|
||||
keyword whole `noremove_netnames brightred/
|
||||
|
||||
|
||||
#Reserved Keywords 1
|
||||
keyword whole always yellow
|
||||
keyword whole and yellow
|
||||
keyword whole assign yellow
|
||||
keyword whole attribute yellow
|
||||
keyword whole begin yellow
|
||||
keyword whole buf yellow
|
||||
keyword whole bufif0 yellow
|
||||
keyword whole bufif1 yellow
|
||||
keyword whole case yellow
|
||||
keyword whole casex yellow
|
||||
keyword whole casez yellow
|
||||
keyword whole cmos yellow
|
||||
keyword whole deassign yellow
|
||||
keyword whole default yellow
|
||||
keyword whole defparam yellow
|
||||
keyword whole disable yellow
|
||||
keyword whole edge yellow
|
||||
keyword whole else yellow
|
||||
keyword whole end yellow
|
||||
keyword whole endattribute yellow
|
||||
keyword whole endcase yellow
|
||||
keyword whole endmodule yellow
|
||||
keyword whole endfunction yellow
|
||||
keyword whole endprimitive yellow
|
||||
keyword whole endspecify yellow
|
||||
keyword whole endtable yellow
|
||||
keyword whole endtask yellow
|
||||
keyword whole event yellow
|
||||
keyword whole for yellow
|
||||
keyword whole force yellow
|
||||
keyword whole forever yellow
|
||||
keyword whole fork yellow
|
||||
keyword whole function yellow
|
||||
keyword whole highz0 yellow
|
||||
keyword whole highz1 yellow
|
||||
keyword whole if yellow
|
||||
keyword whole initial yellow
|
||||
keyword whole inout yellow
|
||||
keyword whole input yellow
|
||||
keyword whole integer yellow
|
||||
keyword whole join yellow
|
||||
keyword whole large yellow
|
||||
keyword whole macromodule yellow
|
||||
keyword whole medium yellow
|
||||
keyword whole module yellow
|
||||
keyword whole nand yellow
|
||||
keyword whole negedge yellow
|
||||
keyword whole nmos yellow
|
||||
keyword whole nor yellow
|
||||
keyword whole not yellow
|
||||
keyword whole notif0 yellow
|
||||
keyword whole notif1 yellow
|
||||
keyword whole or yellow
|
||||
keyword whole output yellow
|
||||
keyword whole parameter yellow
|
||||
keyword whole pmos yellow
|
||||
keyword whole posedge yellow
|
||||
keyword whole primitive yellow
|
||||
keyword whole pull0 yellow
|
||||
keyword whole pull1 yellow
|
||||
keyword whole pullup yellow
|
||||
keyword whole pulldown yellow
|
||||
keyword whole rcmos yellow
|
||||
keyword whole reg yellow
|
||||
keyword whole release yellow
|
||||
keyword whole repeat yellow
|
||||
keyword whole rnmos yellow
|
||||
keyword whole rpmos yellow
|
||||
keyword whole rtran yellow
|
||||
keyword whole rtranif0 yellow
|
||||
keyword whole rtranif1 yellow
|
||||
keyword whole scalared yellow
|
||||
keyword whole small yellow
|
||||
keyword whole specify yellow
|
||||
keyword whole specparam yellow
|
||||
keyword whole strength yellow
|
||||
keyword whole strong0 yellow
|
||||
keyword whole strong1 yellow
|
||||
keyword whole supply0 yellow
|
||||
keyword whole supply1 yellow
|
||||
keyword whole table yellow
|
||||
keyword whole task yellow
|
||||
keyword whole time yellow
|
||||
keyword whole tran yellow
|
||||
keyword whole tranif0 yellow
|
||||
keyword whole tranif1 yellow
|
||||
keyword whole tri yellow
|
||||
keyword whole tri0 yellow
|
||||
keyword whole tri1 yellow
|
||||
keyword whole triand yellow
|
||||
keyword whole trior yellow
|
||||
keyword whole trireg yellow
|
||||
keyword whole use yellow
|
||||
keyword whole vectored yellow
|
||||
keyword whole wait yellow
|
||||
keyword whole wand yellow
|
||||
keyword whole weak0 yellow
|
||||
keyword whole weak1 yellow
|
||||
keyword whole while yellow
|
||||
keyword whole wire yellow
|
||||
keyword whole wor yellow
|
||||
keyword whole xnor yellow
|
||||
keyword whole xor yellow
|
||||
keyword whole always yellow
|
||||
keyword whole and yellow
|
||||
keyword whole assign yellow
|
||||
keyword whole attribute yellow
|
||||
keyword whole begin yellow
|
||||
keyword whole buf yellow
|
||||
keyword whole bufif0 yellow
|
||||
keyword whole bufif1 yellow
|
||||
keyword whole case yellow
|
||||
keyword whole casex yellow
|
||||
keyword whole casez yellow
|
||||
keyword whole cmos yellow
|
||||
keyword whole deassign yellow
|
||||
keyword whole default yellow
|
||||
keyword whole defparam yellow
|
||||
keyword whole disable yellow
|
||||
keyword whole edge yellow
|
||||
keyword whole else yellow
|
||||
keyword whole end yellow
|
||||
keyword whole endattribute yellow
|
||||
keyword whole endcase yellow
|
||||
keyword whole endmodule yellow
|
||||
keyword whole endfunction yellow
|
||||
keyword whole endprimitive yellow
|
||||
keyword whole endspecify yellow
|
||||
keyword whole endtable yellow
|
||||
keyword whole endtask yellow
|
||||
keyword whole event yellow
|
||||
keyword whole for yellow
|
||||
keyword whole force yellow
|
||||
keyword whole forever yellow
|
||||
keyword whole fork yellow
|
||||
keyword whole function yellow
|
||||
keyword whole highz0 yellow
|
||||
keyword whole highz1 yellow
|
||||
keyword whole if yellow
|
||||
keyword whole initial yellow
|
||||
keyword whole inout yellow
|
||||
keyword whole input yellow
|
||||
keyword whole integer yellow
|
||||
keyword whole join yellow
|
||||
keyword whole large yellow
|
||||
keyword whole macromodule yellow
|
||||
keyword whole medium yellow
|
||||
keyword whole module yellow
|
||||
keyword whole nand yellow
|
||||
keyword whole negedge yellow
|
||||
keyword whole nmos yellow
|
||||
keyword whole nor yellow
|
||||
keyword whole not yellow
|
||||
keyword whole notif0 yellow
|
||||
keyword whole notif1 yellow
|
||||
keyword whole or yellow
|
||||
keyword whole output yellow
|
||||
keyword whole parameter yellow
|
||||
keyword whole pmos yellow
|
||||
keyword whole posedge yellow
|
||||
keyword whole primitive yellow
|
||||
keyword whole pull0 yellow
|
||||
keyword whole pull1 yellow
|
||||
keyword whole pullup yellow
|
||||
keyword whole pulldown yellow
|
||||
keyword whole rcmos yellow
|
||||
keyword whole reg yellow
|
||||
keyword whole release yellow
|
||||
keyword whole repeat yellow
|
||||
keyword whole rnmos yellow
|
||||
keyword whole rpmos yellow
|
||||
keyword whole rtran yellow
|
||||
keyword whole rtranif0 yellow
|
||||
keyword whole rtranif1 yellow
|
||||
keyword whole scalared yellow
|
||||
keyword whole small yellow
|
||||
keyword whole specify yellow
|
||||
keyword whole specparam yellow
|
||||
keyword whole strength yellow
|
||||
keyword whole strong0 yellow
|
||||
keyword whole strong1 yellow
|
||||
keyword whole supply0 yellow
|
||||
keyword whole supply1 yellow
|
||||
keyword whole table yellow
|
||||
keyword whole task yellow
|
||||
keyword whole time yellow
|
||||
keyword whole tran yellow
|
||||
keyword whole tranif0 yellow
|
||||
keyword whole tranif1 yellow
|
||||
keyword whole tri yellow
|
||||
keyword whole tri0 yellow
|
||||
keyword whole tri1 yellow
|
||||
keyword whole triand yellow
|
||||
keyword whole trior yellow
|
||||
keyword whole trireg yellow
|
||||
keyword whole use yellow
|
||||
keyword whole vectored yellow
|
||||
keyword whole wait yellow
|
||||
keyword whole wand yellow
|
||||
keyword whole weak0 yellow
|
||||
keyword whole weak1 yellow
|
||||
keyword whole while yellow
|
||||
keyword whole wire yellow
|
||||
keyword whole wor yellow
|
||||
keyword whole xnor yellow
|
||||
keyword whole xor yellow
|
||||
|
||||
#Reserved Keywords 2
|
||||
|
||||
keyword whole $bitstoreal yellow
|
||||
keyword whole $countdrivers yellow
|
||||
keyword whole $display yellow
|
||||
keyword whole $dumpall yellow
|
||||
keyword whole $dumpfile yellow
|
||||
keyword whole $dumpflush yellow
|
||||
keyword whole $dumpoff yellow
|
||||
keyword whole $dumpon yellow
|
||||
keyword whole $dumpvars yellow
|
||||
keyword whole $fclose yellow
|
||||
keyword whole $fdisplay yellow
|
||||
keyword whole $finish yellow
|
||||
keyword whole $fmonitor yellow
|
||||
keyword whole $fopen yellow
|
||||
keyword whole $fstrobe yellow
|
||||
keyword whole $fwrite yellow
|
||||
keyword whole $getpattern yellow
|
||||
keyword whole $history yellow
|
||||
keyword whole $hold yellow
|
||||
keyword whole $incsave yellow
|
||||
keyword whole $input yellow
|
||||
keyword whole $itor yellow
|
||||
keyword whole $key yellow
|
||||
keyword whole $list yellow
|
||||
keyword whole $log yellow
|
||||
keyword whole $monitor yellow
|
||||
keyword whole $monitoroff yellow
|
||||
keyword whole $monitoron yellow
|
||||
keyword whole $nokey yellow
|
||||
keyword whole $nolog yellow
|
||||
keyword whole $period yellow
|
||||
keyword whole $printtimescale yellow
|
||||
keyword whole $readmemb yellow
|
||||
keyword whole $readmemh yellow
|
||||
keyword whole $realtime yellow
|
||||
keyword whole $realtobits yellow
|
||||
keyword whole $recovery yellow
|
||||
keyword whole $reset yellow
|
||||
keyword whole $reset_count yellow
|
||||
keyword whole $reset_value yellow
|
||||
keyword whole $restart yellow
|
||||
keyword whole $rtoi yellow
|
||||
keyword whole $save yellow
|
||||
keyword whole $scale yellow
|
||||
keyword whole $scope yellow
|
||||
keyword whole $setup yellow
|
||||
keyword whole $setuphold yellow
|
||||
keyword whole $showscopes yellow
|
||||
keyword whole $showvariables yellow
|
||||
keyword whole $showvars yellow
|
||||
keyword whole $skew yellow
|
||||
keyword whole $sreadmemb yellow
|
||||
keyword whole $sreadmemh yellow
|
||||
keyword whole $stime yellow
|
||||
keyword whole $stop yellow
|
||||
keyword whole $strobe yellow
|
||||
keyword whole $time yellow
|
||||
keyword whole $timeformat yellow
|
||||
keyword whole $width yellow
|
||||
keyword whole $write yellow
|
||||
keyword whole $bitstoreal yellow
|
||||
keyword whole $countdrivers yellow
|
||||
keyword whole $display yellow
|
||||
keyword whole $dumpall yellow
|
||||
keyword whole $dumpfile yellow
|
||||
keyword whole $dumpflush yellow
|
||||
keyword whole $dumpoff yellow
|
||||
keyword whole $dumpon yellow
|
||||
keyword whole $dumpvars yellow
|
||||
keyword whole $fclose yellow
|
||||
keyword whole $fdisplay yellow
|
||||
keyword whole $finish yellow
|
||||
keyword whole $fmonitor yellow
|
||||
keyword whole $fopen yellow
|
||||
keyword whole $fstrobe yellow
|
||||
keyword whole $fwrite yellow
|
||||
keyword whole $getpattern yellow
|
||||
keyword whole $history yellow
|
||||
keyword whole $hold yellow
|
||||
keyword whole $incsave yellow
|
||||
keyword whole $input yellow
|
||||
keyword whole $itor yellow
|
||||
keyword whole $key yellow
|
||||
keyword whole $list yellow
|
||||
keyword whole $log yellow
|
||||
keyword whole $monitor yellow
|
||||
keyword whole $monitoroff yellow
|
||||
keyword whole $monitoron yellow
|
||||
keyword whole $nokey yellow
|
||||
keyword whole $nolog yellow
|
||||
keyword whole $period yellow
|
||||
keyword whole $printtimescale yellow
|
||||
keyword whole $readmemb yellow
|
||||
keyword whole $readmemh yellow
|
||||
keyword whole $realtime yellow
|
||||
keyword whole $realtobits yellow
|
||||
keyword whole $recovery yellow
|
||||
keyword whole $reset yellow
|
||||
keyword whole $reset_count yellow
|
||||
keyword whole $reset_value yellow
|
||||
keyword whole $restart yellow
|
||||
keyword whole $rtoi yellow
|
||||
keyword whole $save yellow
|
||||
keyword whole $scale yellow
|
||||
keyword whole $scope yellow
|
||||
keyword whole $setup yellow
|
||||
keyword whole $setuphold yellow
|
||||
keyword whole $showscopes yellow
|
||||
keyword whole $showvariables yellow
|
||||
keyword whole $showvars yellow
|
||||
keyword whole $skew yellow
|
||||
keyword whole $sreadmemb yellow
|
||||
keyword whole $sreadmemh yellow
|
||||
keyword whole $stime yellow
|
||||
keyword whole $stop yellow
|
||||
keyword whole $strobe yellow
|
||||
keyword whole $time yellow
|
||||
keyword whole $timeformat yellow
|
||||
keyword whole $width yellow
|
||||
keyword whole $write yellow
|
||||
|
||||
|
||||
keyword > yellow
|
||||
|
@ -9,185 +9,185 @@ context default
|
||||
|
||||
#wholechars abcdefghijklmnopqrstuvwxyzABCDEFGHIJKLMNOPQRSTUVWXYZ_
|
||||
|
||||
keyword whole with yellow
|
||||
keyword whole select yellow
|
||||
keyword whole use yellow
|
||||
keyword whole is yellow
|
||||
keyword whole of yellow
|
||||
keyword whole length yellow
|
||||
keyword whole range yellow
|
||||
keyword whole left yellow
|
||||
keyword whole right yellow
|
||||
keyword whole delta yellow
|
||||
keyword whole return yellow
|
||||
keyword whole next yellow
|
||||
keyword whole null yellow
|
||||
keyword whole array yellow
|
||||
keyword whole downto yellow
|
||||
keyword whole to yellow
|
||||
keyword whole foreign yellow
|
||||
keyword whole with yellow
|
||||
keyword whole select yellow
|
||||
keyword whole use yellow
|
||||
keyword whole is yellow
|
||||
keyword whole of yellow
|
||||
keyword whole length yellow
|
||||
keyword whole range yellow
|
||||
keyword whole left yellow
|
||||
keyword whole right yellow
|
||||
keyword whole delta yellow
|
||||
keyword whole return yellow
|
||||
keyword whole next yellow
|
||||
keyword whole null yellow
|
||||
keyword whole array yellow
|
||||
keyword whole downto yellow
|
||||
keyword whole to yellow
|
||||
keyword whole foreign yellow
|
||||
|
||||
# comment
|
||||
keyword -- magenta
|
||||
keyword -- magenta
|
||||
|
||||
# expressions
|
||||
keyword := brightgreen
|
||||
keyword . brightgreen
|
||||
keyword ; brightgreen
|
||||
keyword : brightgreen
|
||||
keyword , brightgreen
|
||||
keyword ' brightgreen
|
||||
keyword | brightgreen
|
||||
keyword ( brightgreen
|
||||
keyword ) brightgreen
|
||||
keyword [ brightgreen
|
||||
keyword ] brightgreen
|
||||
keyword \+ brightgreen
|
||||
keyword - brightgreen
|
||||
keyword / brightgreen
|
||||
keyword \* brightgreen
|
||||
keyword \*\* brightgreen
|
||||
keyword # brightgreen
|
||||
keyword & brightgreen
|
||||
keyword => brightgreen
|
||||
keyword < brightgreen
|
||||
keyword > brightgreen
|
||||
keyword <= brightgreen
|
||||
keyword >= brightgreen
|
||||
keyword = brightgreen
|
||||
keyword /= brightgreen
|
||||
keyword := brightgreen
|
||||
keyword . brightgreen
|
||||
keyword ; brightgreen
|
||||
keyword : brightgreen
|
||||
keyword , brightgreen
|
||||
keyword ' brightgreen
|
||||
keyword | brightgreen
|
||||
keyword ( brightgreen
|
||||
keyword ) brightgreen
|
||||
keyword [ brightgreen
|
||||
keyword ] brightgreen
|
||||
keyword \+ brightgreen
|
||||
keyword - brightgreen
|
||||
keyword / brightgreen
|
||||
keyword \* brightgreen
|
||||
keyword \*\* brightgreen
|
||||
keyword # brightgreen
|
||||
keyword & brightgreen
|
||||
keyword => brightgreen
|
||||
keyword < brightgreen
|
||||
keyword > brightgreen
|
||||
keyword <= brightgreen
|
||||
keyword >= brightgreen
|
||||
keyword = brightgreen
|
||||
keyword /= brightgreen
|
||||
|
||||
# operators and functions
|
||||
keyword whole sll green
|
||||
keyword whole srl green
|
||||
keyword whole sla green
|
||||
keyword whole sra green
|
||||
keyword whole rol green
|
||||
keyword whole ror green
|
||||
keyword whole rem green
|
||||
keyword whole mod green
|
||||
keyword whole not green
|
||||
keyword whole and green
|
||||
keyword whole nand green
|
||||
keyword whole or green
|
||||
keyword whole xor green
|
||||
keyword whole nor green
|
||||
keyword whole xnor green
|
||||
keyword whole abs green
|
||||
keyword whole new green
|
||||
keyword whole sll green
|
||||
keyword whole srl green
|
||||
keyword whole sla green
|
||||
keyword whole sra green
|
||||
keyword whole rol green
|
||||
keyword whole ror green
|
||||
keyword whole rem green
|
||||
keyword whole mod green
|
||||
keyword whole not green
|
||||
keyword whole and green
|
||||
keyword whole nand green
|
||||
keyword whole or green
|
||||
keyword whole xor green
|
||||
keyword whole nor green
|
||||
keyword whole xnor green
|
||||
keyword whole abs green
|
||||
keyword whole new green
|
||||
|
||||
keyword whole begin yellow
|
||||
keyword whole end yellow
|
||||
keyword whole exit yellow
|
||||
keyword whole for yellow
|
||||
keyword whole while yellow
|
||||
keyword whole if yellow
|
||||
keyword whole then yellow
|
||||
keyword whole else yellow
|
||||
keyword whole elsif yellow
|
||||
keyword whole case yellow
|
||||
keyword whole when yellow
|
||||
keyword whole with yellow
|
||||
keyword whole select yellow
|
||||
keyword whole assert yellow
|
||||
keyword whole wait yellow
|
||||
keyword whole open yellow
|
||||
keyword whole loop yellow
|
||||
keyword whole until yellow
|
||||
keyword whole others yellow
|
||||
keyword whole all yellow
|
||||
keyword whole block yellow
|
||||
keyword whole guarded yellow
|
||||
keyword whole after yellow
|
||||
keyword whole transport yellow
|
||||
keyword whole inertial yellow
|
||||
keyword whole reject yellow
|
||||
keyword whole unaffected yellow
|
||||
keyword whole disconnect yellow
|
||||
keyword whole begin yellow
|
||||
keyword whole end yellow
|
||||
keyword whole exit yellow
|
||||
keyword whole for yellow
|
||||
keyword whole while yellow
|
||||
keyword whole if yellow
|
||||
keyword whole then yellow
|
||||
keyword whole else yellow
|
||||
keyword whole elsif yellow
|
||||
keyword whole case yellow
|
||||
keyword whole when yellow
|
||||
keyword whole with yellow
|
||||
keyword whole select yellow
|
||||
keyword whole assert yellow
|
||||
keyword whole wait yellow
|
||||
keyword whole open yellow
|
||||
keyword whole loop yellow
|
||||
keyword whole until yellow
|
||||
keyword whole others yellow
|
||||
keyword whole all yellow
|
||||
keyword whole block yellow
|
||||
keyword whole guarded yellow
|
||||
keyword whole after yellow
|
||||
keyword whole transport yellow
|
||||
keyword whole inertial yellow
|
||||
keyword whole reject yellow
|
||||
keyword whole unaffected yellow
|
||||
keyword whole disconnect yellow
|
||||
|
||||
# types and related stuff
|
||||
keyword whole integer cyan
|
||||
keyword whole natural cyan
|
||||
keyword whole positive cyan
|
||||
keyword whole string cyan
|
||||
keyword whole character cyan
|
||||
keyword whole boolean cyan
|
||||
keyword whole real cyan
|
||||
keyword whole bit cyan
|
||||
keyword whole bit_vector cyan
|
||||
keyword whole time cyan
|
||||
keyword whole units cyan
|
||||
keyword whole std_logic cyan
|
||||
keyword whole std_logic_vector cyan
|
||||
keyword whole severity_level cyan
|
||||
keyword whole integer cyan
|
||||
keyword whole natural cyan
|
||||
keyword whole positive cyan
|
||||
keyword whole string cyan
|
||||
keyword whole character cyan
|
||||
keyword whole boolean cyan
|
||||
keyword whole real cyan
|
||||
keyword whole bit cyan
|
||||
keyword whole bit_vector cyan
|
||||
keyword whole time cyan
|
||||
keyword whole units cyan
|
||||
keyword whole std_logic cyan
|
||||
keyword whole std_logic_vector cyan
|
||||
keyword whole severity_level cyan
|
||||
|
||||
# boolean values
|
||||
keyword whole true brightred
|
||||
keyword whole false brightred
|
||||
keyword whole true brightred
|
||||
keyword whole false brightred
|
||||
# time values
|
||||
keyword whole fs brightred
|
||||
keyword whole ps brightred
|
||||
keyword whole ns brightred
|
||||
keyword whole us brightred
|
||||
keyword whole ms brightred
|
||||
keyword whole sec brightred
|
||||
keyword whole min brightred
|
||||
keyword whole hr brightred
|
||||
keyword whole fs brightred
|
||||
keyword whole ps brightred
|
||||
keyword whole ns brightred
|
||||
keyword whole us brightred
|
||||
keyword whole ms brightred
|
||||
keyword whole sec brightred
|
||||
keyword whole min brightred
|
||||
keyword whole hr brightred
|
||||
|
||||
# declarations
|
||||
keyword whole type brightcyan
|
||||
keyword whole subtype brightcyan
|
||||
keyword whole type brightcyan
|
||||
keyword whole subtype brightcyan
|
||||
|
||||
keyword whole variable yellow
|
||||
keyword whole shared yellow
|
||||
keyword whole signal yellow
|
||||
keyword whole constant yellow
|
||||
keyword whole group yellow
|
||||
keyword whole file yellow
|
||||
keyword whole register yellow
|
||||
keyword whole variable yellow
|
||||
keyword whole shared yellow
|
||||
keyword whole signal yellow
|
||||
keyword whole constant yellow
|
||||
keyword whole group yellow
|
||||
keyword whole file yellow
|
||||
keyword whole register yellow
|
||||
|
||||
keyword whole port yellow
|
||||
keyword whole map yellow
|
||||
keyword whole label yellow
|
||||
keyword whole record yellow
|
||||
keyword whole generic yellow
|
||||
keyword whole alias yellow
|
||||
keyword whole attribute yellow
|
||||
keyword whole port yellow
|
||||
keyword whole map yellow
|
||||
keyword whole label yellow
|
||||
keyword whole record yellow
|
||||
keyword whole generic yellow
|
||||
keyword whole alias yellow
|
||||
keyword whole attribute yellow
|
||||
|
||||
# ports
|
||||
keyword whole in white
|
||||
keyword whole out white
|
||||
keyword whole inout white
|
||||
keyword whole buffer white
|
||||
keyword whole linkage white
|
||||
keyword whole bus white
|
||||
keyword whole in white
|
||||
keyword whole out white
|
||||
keyword whole inout white
|
||||
keyword whole buffer white
|
||||
keyword whole linkage white
|
||||
keyword whole bus white
|
||||
|
||||
# library units
|
||||
keyword whole library yellow
|
||||
keyword whole entity yellow
|
||||
keyword whole architecture yellow
|
||||
keyword whole package yellow
|
||||
keyword whole body yellow
|
||||
keyword whole procedure yellow
|
||||
keyword whole function yellow
|
||||
keyword whole pure yellow
|
||||
keyword whole impure yellow
|
||||
keyword whole configuration yellow
|
||||
keyword whole component yellow
|
||||
keyword whole generic yellow
|
||||
keyword whole process yellow
|
||||
keyword whole postponded yellow
|
||||
keyword whole generate yellow
|
||||
keyword whole library yellow
|
||||
keyword whole entity yellow
|
||||
keyword whole architecture yellow
|
||||
keyword whole package yellow
|
||||
keyword whole body yellow
|
||||
keyword whole procedure yellow
|
||||
keyword whole function yellow
|
||||
keyword whole pure yellow
|
||||
keyword whole impure yellow
|
||||
keyword whole configuration yellow
|
||||
keyword whole component yellow
|
||||
keyword whole generic yellow
|
||||
keyword whole process yellow
|
||||
keyword whole postponded yellow
|
||||
keyword whole generate yellow
|
||||
|
||||
# reports
|
||||
keyword whole report red
|
||||
keyword whole severity red
|
||||
keyword whole note red
|
||||
keyword whole warning red
|
||||
keyword whole error red
|
||||
keyword whole failure red
|
||||
keyword whole report red
|
||||
keyword whole severity red
|
||||
keyword whole note red
|
||||
keyword whole warning red
|
||||
keyword whole error red
|
||||
keyword whole failure red
|
||||
|
||||
# comment
|
||||
context exclusive -- \n magenta
|
||||
context exclusive -- \n magenta
|
||||
|
||||
context " " green
|
||||
|
@ -4,43 +4,43 @@
|
||||
# http://kozelka.hyperlink.cz
|
||||
|
||||
context default
|
||||
keyword &*; white cyan
|
||||
spellcheck
|
||||
keyword &*; white cyan
|
||||
spellcheck
|
||||
|
||||
context <!-- --> brightgreen
|
||||
spellcheck
|
||||
spellcheck
|
||||
|
||||
context <! > yellow
|
||||
keyword '+' brightcyan
|
||||
keyword "+" brightcyan
|
||||
keyword whole DOCTYPE white red
|
||||
keyword whole PUBLIC brightred
|
||||
keyword whole SYSTEM brightred
|
||||
keyword whole NDATA brightred
|
||||
keyword whole CDATA white
|
||||
keyword whole #PCDATA white
|
||||
keyword whole EMPTY white
|
||||
keyword whole #REQUIRED white
|
||||
keyword whole #IMPLIED white
|
||||
keyword whole ELEMENT white
|
||||
keyword whole ENTITY brightred
|
||||
keyword whole ATTLIST white
|
||||
keyword whole %*; white cyan
|
||||
keyword \( lightgray
|
||||
keyword \) lightgray
|
||||
keyword \* lightgray
|
||||
keyword \? lightgray
|
||||
keyword \+ lightgray
|
||||
keyword \| lightgray
|
||||
keyword \, lightgray
|
||||
spellcheck
|
||||
keyword '+' brightcyan
|
||||
keyword "+" brightcyan
|
||||
keyword whole DOCTYPE white red
|
||||
keyword whole PUBLIC brightred
|
||||
keyword whole SYSTEM brightred
|
||||
keyword whole NDATA brightred
|
||||
keyword whole CDATA white
|
||||
keyword whole #PCDATA white
|
||||
keyword whole EMPTY white
|
||||
keyword whole #REQUIRED white
|
||||
keyword whole #IMPLIED white
|
||||
keyword whole ELEMENT white
|
||||
keyword whole ENTITY brightred
|
||||
keyword whole ATTLIST white
|
||||
keyword whole %*; white cyan
|
||||
keyword \( lightgray
|
||||
keyword \) lightgray
|
||||
keyword \* lightgray
|
||||
keyword \? lightgray
|
||||
keyword \+ lightgray
|
||||
keyword \| lightgray
|
||||
keyword \, lightgray
|
||||
spellcheck
|
||||
|
||||
context <\?xml \?> white red
|
||||
context <\? \?> lightgray black
|
||||
context < > white
|
||||
keyword \sxmlns\:*\="+" brightred
|
||||
keyword \sxmlns\="+" brightred
|
||||
keyword \s*= yellow
|
||||
keyword '*' brightcyan
|
||||
keyword "*" brightcyan
|
||||
spellcheck
|
||||
keyword \sxmlns\:*\="+" brightred
|
||||
keyword \sxmlns\="+" brightred
|
||||
keyword \s*= yellow
|
||||
keyword '*' brightcyan
|
||||
keyword "*" brightcyan
|
||||
spellcheck
|
||||
|
@ -3,13 +3,13 @@
|
||||
# Author: Andy Shevchenko <andy.shevchenko@gmail.com>
|
||||
|
||||
context default
|
||||
keyword whole enabled=0 brightred
|
||||
keyword whole gpgcheck=0 brightred
|
||||
keyword whole enabled=1 brightgreen
|
||||
keyword whole gpgcheck=1 brightgreen
|
||||
keyword whole enabled=0 brightred
|
||||
keyword whole gpgcheck=0 brightred
|
||||
keyword whole enabled=1 brightgreen
|
||||
keyword whole gpgcheck=1 brightgreen
|
||||
|
||||
context # \n brown
|
||||
spellcheck
|
||||
spellcheck
|
||||
|
||||
context [ ] brightcyan
|
||||
context exclusive = \n green
|
||||
context exclusive = \n green
|
||||
|
Loading…
Reference in New Issue
Block a user