mirror of
https://github.com/MidnightCommander/mc
synced 2024-12-22 12:32:40 +03:00
Normalized formating for syntax files
Signed-off-by: Mikhail S. Pobolovets <styx.mp@gmail.com>
This commit is contained in:
parent
5112cdc727
commit
6f6ea1bc88
@ -3,67 +3,67 @@
|
||||
caseinsensitive
|
||||
|
||||
context default
|
||||
keyword whole abs yellow
|
||||
keyword whole delta yellow
|
||||
keyword whole is yellow
|
||||
keyword whole loop yellow
|
||||
keyword whole of yellow
|
||||
keyword whole range yellow
|
||||
keyword whole return yellow
|
||||
keyword whole use yellow
|
||||
keyword whole with yellow
|
||||
keyword whole abs yellow
|
||||
keyword whole delta yellow
|
||||
keyword whole is yellow
|
||||
keyword whole loop yellow
|
||||
keyword whole of yellow
|
||||
keyword whole range yellow
|
||||
keyword whole return yellow
|
||||
keyword whole use yellow
|
||||
keyword whole with yellow
|
||||
|
||||
# prevents - keyword from interfering with comment
|
||||
keyword -- brown
|
||||
keyword -- brown
|
||||
|
||||
keyword # brightgreen
|
||||
keyword ' brightgreen
|
||||
keyword ( brightgreen
|
||||
keyword ) brightgreen
|
||||
keyword \*\* brightgreen
|
||||
keyword \* brightgreen
|
||||
keyword \+ brightgreen
|
||||
keyword , brightgreen
|
||||
keyword - brightgreen
|
||||
keyword . brightgreen
|
||||
keyword .. brightgreen
|
||||
keyword /= brightgreen
|
||||
keyword / brightgreen
|
||||
keyword := brightgreen
|
||||
keyword : brightgreen
|
||||
keyword ; brightgreen
|
||||
keyword => brightgreen
|
||||
keyword = brightgreen
|
||||
keyword # brightgreen
|
||||
keyword ' brightgreen
|
||||
keyword ( brightgreen
|
||||
keyword ) brightgreen
|
||||
keyword \*\* brightgreen
|
||||
keyword \* brightgreen
|
||||
keyword \+ brightgreen
|
||||
keyword , brightgreen
|
||||
keyword - brightgreen
|
||||
keyword . brightgreen
|
||||
keyword .. brightgreen
|
||||
keyword /= brightgreen
|
||||
keyword / brightgreen
|
||||
keyword := brightgreen
|
||||
keyword : brightgreen
|
||||
keyword ; brightgreen
|
||||
keyword => brightgreen
|
||||
keyword = brightgreen
|
||||
|
||||
keyword whole begin brightred
|
||||
keyword whole case brightred
|
||||
keyword whole else brightred
|
||||
keyword whole elsif brightred
|
||||
keyword whole end brightred
|
||||
keyword whole exit brightred
|
||||
keyword whole for brightred
|
||||
keyword whole if brightred
|
||||
keyword whole then brightred
|
||||
keyword whole when brightred
|
||||
keyword whole while brightred
|
||||
keyword whole begin brightred
|
||||
keyword whole case brightred
|
||||
keyword whole else brightred
|
||||
keyword whole elsif brightred
|
||||
keyword whole end brightred
|
||||
keyword whole exit brightred
|
||||
keyword whole for brightred
|
||||
keyword whole if brightred
|
||||
keyword whole then brightred
|
||||
keyword whole when brightred
|
||||
keyword whole while brightred
|
||||
|
||||
keyword whole array cyan
|
||||
keyword whole boolean cyan
|
||||
keyword whole character cyan
|
||||
keyword whole fixed cyan
|
||||
keyword whole float cyan
|
||||
keyword whole integer cyan
|
||||
keyword whole string cyan
|
||||
keyword whole array cyan
|
||||
keyword whole boolean cyan
|
||||
keyword whole character cyan
|
||||
keyword whole fixed cyan
|
||||
keyword whole float cyan
|
||||
keyword whole integer cyan
|
||||
keyword whole string cyan
|
||||
|
||||
keyword whole goto brightcyan
|
||||
keyword whole new_line brightcyan
|
||||
keyword whole subtype brightcyan
|
||||
keyword whole type brightcyan
|
||||
keyword whole goto brightcyan
|
||||
keyword whole new_line brightcyan
|
||||
keyword whole subtype brightcyan
|
||||
keyword whole type brightcyan
|
||||
|
||||
keyword whole function magenta
|
||||
keyword whole new magenta
|
||||
keyword whole package magenta
|
||||
keyword whole procedure magenta
|
||||
keyword whole function magenta
|
||||
keyword whole new magenta
|
||||
keyword whole package magenta
|
||||
keyword whole procedure magenta
|
||||
|
||||
context exclusive -- \n brown
|
||||
|
||||
|
@ -75,7 +75,7 @@ context default lightgray
|
||||
keyword whole .bss brightblue
|
||||
|
||||
# NASM/TASM Macroses
|
||||
keyword whole %ifdef brightred
|
||||
keyword whole %ifdef brightred
|
||||
keyword whole %define brightred
|
||||
keyword whole %else brightred
|
||||
keyword whole %elif brightred
|
||||
|
@ -12,29 +12,29 @@ context default
|
||||
keyword whole linestart #!\[\s\]/bin/\[msg\]awk yellow magenta
|
||||
keyword whole linestart #!\[\s\]/usr/bin/\[msg\]awk yellow magenta
|
||||
# Keywords
|
||||
keyword whole AMNOISE white
|
||||
keyword whole BEGIN white
|
||||
keyword whole END white
|
||||
keyword whole func white
|
||||
keyword whole function white
|
||||
keyword whole nextfile white
|
||||
keyword whole AMNOISE white
|
||||
keyword whole BEGIN white
|
||||
keyword whole END white
|
||||
keyword whole func white
|
||||
keyword whole function white
|
||||
keyword whole nextfile white
|
||||
|
||||
keyword whole return white/26
|
||||
keyword whole print white/26
|
||||
keyword whole printf white/26
|
||||
keyword whole if white/26
|
||||
keyword whole else white/26
|
||||
keyword whole while white/26
|
||||
keyword whole for white/26
|
||||
keyword whole in white/26
|
||||
keyword whole do white/26
|
||||
keyword whole break white/26
|
||||
keyword whole continue white/26
|
||||
keyword whole next white/26
|
||||
keyword whole exit white/26
|
||||
keyword whole close white/26
|
||||
keyword whole system white/26
|
||||
keyword whole getline white/26
|
||||
keyword whole return white/26
|
||||
keyword whole print white/26
|
||||
keyword whole printf white/26
|
||||
keyword whole if white/26
|
||||
keyword whole else white/26
|
||||
keyword whole while white/26
|
||||
keyword whole for white/26
|
||||
keyword whole in white/26
|
||||
keyword whole do white/26
|
||||
keyword whole break white/26
|
||||
keyword whole continue white/26
|
||||
keyword whole next white/26
|
||||
keyword whole exit white/26
|
||||
keyword whole close white/26
|
||||
keyword whole system white/26
|
||||
keyword whole getline white/26
|
||||
# Builtin functions:
|
||||
keyword whole atan2 white black
|
||||
keyword whole cos white black
|
||||
@ -56,9 +56,9 @@ context default
|
||||
keyword whole asort white black
|
||||
keyword whole asorti white black
|
||||
|
||||
keyword whole xor white
|
||||
keyword whole and white
|
||||
keyword whole or white
|
||||
keyword whole xor white
|
||||
keyword whole and white
|
||||
keyword whole or white
|
||||
|
||||
# Gawk builtin functions:
|
||||
keyword whole fflush white black
|
||||
@ -67,44 +67,44 @@ context default
|
||||
keyword whole toupper white black
|
||||
keyword whole systime white black
|
||||
keyword whole strftime white black
|
||||
keyword whole strtonum white
|
||||
keyword whole strtonum white
|
||||
keyword whole bindtextdomain white
|
||||
keyword whole dcgettext white
|
||||
keyword whole dcngettext white
|
||||
keyword whole compl white
|
||||
keyword whole delete white
|
||||
keyword whole extension white
|
||||
keyword whole mktime white
|
||||
keyword whole lshift white
|
||||
keyword whole rshift white
|
||||
keyword whole dcgettext white
|
||||
keyword whole dcngettext white
|
||||
keyword whole compl white
|
||||
keyword whole delete white
|
||||
keyword whole extension white
|
||||
keyword whole mktime white
|
||||
keyword whole lshift white
|
||||
keyword whole rshift white
|
||||
|
||||
# Builtin variables:
|
||||
keyword whole ARGIND brightblue
|
||||
keyword whole BINMODE brightblue
|
||||
keyword whole CONVFMT brightblue
|
||||
keyword whole ENVIRON brightblue
|
||||
keyword whole LINT brightblue
|
||||
keyword whole TEXTDOMAIN brightblue
|
||||
keyword whole ARGC brightblue
|
||||
keyword whole ARGV brightblue
|
||||
keyword whole FILENAME brightblue
|
||||
keyword whole FNR brightblue
|
||||
keyword whole FS brightblue
|
||||
keyword whole NF brightblue
|
||||
keyword whole NR brightblue
|
||||
keyword whole OFMT brightblue
|
||||
keyword whole OFS brightblue
|
||||
keyword whole ORS brightblue
|
||||
keyword whole RLENGTH brightblue
|
||||
keyword whole RS brightblue
|
||||
keyword whole RSTART brightblue
|
||||
keyword whole SUBSEP brightblue
|
||||
keyword whole ARGIND brightblue
|
||||
keyword whole BINMODE brightblue
|
||||
keyword whole CONVFMT brightblue
|
||||
keyword whole ENVIRON brightblue
|
||||
keyword whole LINT brightblue
|
||||
keyword whole TEXTDOMAIN brightblue
|
||||
keyword whole ARGC brightblue
|
||||
keyword whole ARGV brightblue
|
||||
keyword whole FILENAME brightblue
|
||||
keyword whole FNR brightblue
|
||||
keyword whole FS brightblue
|
||||
keyword whole NF brightblue
|
||||
keyword whole NR brightblue
|
||||
keyword whole OFMT brightblue
|
||||
keyword whole OFS brightblue
|
||||
keyword whole ORS brightblue
|
||||
keyword whole RLENGTH brightblue
|
||||
keyword whole RS brightblue
|
||||
keyword whole RSTART brightblue
|
||||
keyword whole SUBSEP brightblue
|
||||
# Gawk builtin variables:
|
||||
keyword whole ARGIND brightblue
|
||||
keyword whole ERRNO brightblue
|
||||
keyword whole RT brightblue
|
||||
keyword whole IGNORECASE brightblue
|
||||
keyword whole FIELDWIDTHS brightblue
|
||||
keyword whole ARGIND brightblue
|
||||
keyword whole ERRNO brightblue
|
||||
keyword whole RT brightblue
|
||||
keyword whole IGNORECASE brightblue
|
||||
keyword whole FIELDWIDTHS brightblue
|
||||
|
||||
keyword '\s' brightgreen/16
|
||||
keyword '+' brightgreen/16
|
||||
|
@ -82,110 +82,110 @@ context default
|
||||
keyword whole -\[\-0123456789ABCDEFGHIJKLMNOPQRSTUVWXYZ_abcdefghijklmnopqrstuvwxyz_0123456789\] brightred
|
||||
|
||||
# known extensions
|
||||
keyword whole Arrows brightmagenta
|
||||
keyword whole BangPatterns brightmagenta
|
||||
keyword whole ConstrainedClassMethods brightmagenta
|
||||
keyword whole DeriveDataTypeable brightmagenta
|
||||
keyword whole DisambiguateRecordFields brightmagenta
|
||||
keyword whole EmptyDataDecls brightmagenta
|
||||
keyword whole CPP brightmagenta
|
||||
keyword whole ExistentialQuantification brightmagenta
|
||||
keyword whole ExtendedDefaultRules brightmagenta
|
||||
keyword whole ExtensibleRecords brightmagenta
|
||||
keyword whole FlexibleContexts brightmagenta
|
||||
keyword whole FlexibleInstances brightmagenta
|
||||
keyword whole ForeignFunctionInterface brightmagenta
|
||||
keyword whole FunctionalDependencies brightmagenta
|
||||
keyword whole GADTs brightmagenta
|
||||
keyword whole GeneralizedNewtypeDeriving brightmagenta
|
||||
keyword whole Generics brightmagenta
|
||||
keyword whole HereDocuments brightmagenta
|
||||
keyword whole ImplicitParams brightmagenta
|
||||
keyword whole ImpredicativeTypes brightmagenta
|
||||
keyword whole IncoherentInstances brightmagenta
|
||||
keyword whole KindSignatures brightmagenta
|
||||
keyword whole LiberalTypeSynonyms brightmagenta
|
||||
keyword whole MagicHash brightmagenta
|
||||
keyword whole MultiParamTypeClasses brightmagenta
|
||||
keyword whole NamedFieldPuns brightmagenta
|
||||
keyword whole NewQualifiedOperators brightmagenta
|
||||
keyword whole NoImplicitPrelude brightmagenta
|
||||
keyword whole NoMonomorphismRestriction brightmagenta
|
||||
keyword whole NoMonoPatBinds brightmagenta
|
||||
keyword whole OverlappingInstances brightmagenta
|
||||
keyword whole OverloadedStrings brightmagenta
|
||||
keyword whole PackageImports brightmagenta
|
||||
keyword whole ParallelListComp brightmagenta
|
||||
keyword whole PatternGuards brightmagenta
|
||||
keyword whole PatternSignatures brightmagenta
|
||||
keyword whole PolymorphicComponents brightmagenta
|
||||
keyword whole PostfixOperators brightmagenta
|
||||
keyword whole QuasiQuotes brightmagenta
|
||||
keyword whole Rank2Types brightmagenta
|
||||
keyword whole RankNTypes brightmagenta
|
||||
keyword whole RecordPuns brightmagenta
|
||||
keyword whole RecordWildCards brightmagenta
|
||||
keyword whole RecursiveDo brightmagenta
|
||||
keyword whole RelaxedPolyRec brightmagenta
|
||||
keyword whole RestrictedTypeSynonyms brightmagenta
|
||||
keyword whole ScopedTypeVariables brightmagenta
|
||||
keyword whole StandaloneDeriving brightmagenta
|
||||
keyword whole TemplateHaskell brightmagenta
|
||||
keyword whole TransformListComp brightmagenta
|
||||
keyword whole TypeFamilies brightmagenta
|
||||
keyword whole TypeOperators brightmagenta
|
||||
keyword whole TypeSynonymInstances brightmagenta
|
||||
keyword whole UnboxedTuples brightmagenta
|
||||
keyword whole UndecidableInstances brightmagenta
|
||||
keyword whole UnicodeSyntax brightmagenta
|
||||
keyword whole UnliftedFFITypes brightmagenta
|
||||
keyword whole ViewPatterns brightmagenta
|
||||
keyword whole Arrows brightmagenta
|
||||
keyword whole BangPatterns brightmagenta
|
||||
keyword whole ConstrainedClassMethods brightmagenta
|
||||
keyword whole DeriveDataTypeable brightmagenta
|
||||
keyword whole DisambiguateRecordFields brightmagenta
|
||||
keyword whole EmptyDataDecls brightmagenta
|
||||
keyword whole CPP brightmagenta
|
||||
keyword whole ExistentialQuantification brightmagenta
|
||||
keyword whole ExtendedDefaultRules brightmagenta
|
||||
keyword whole ExtensibleRecords brightmagenta
|
||||
keyword whole FlexibleContexts brightmagenta
|
||||
keyword whole FlexibleInstances brightmagenta
|
||||
keyword whole ForeignFunctionInterface brightmagenta
|
||||
keyword whole FunctionalDependencies brightmagenta
|
||||
keyword whole GADTs brightmagenta
|
||||
keyword whole GeneralizedNewtypeDeriving brightmagenta
|
||||
keyword whole Generics brightmagenta
|
||||
keyword whole HereDocuments brightmagenta
|
||||
keyword whole ImplicitParams brightmagenta
|
||||
keyword whole ImpredicativeTypes brightmagenta
|
||||
keyword whole IncoherentInstances brightmagenta
|
||||
keyword whole KindSignatures brightmagenta
|
||||
keyword whole LiberalTypeSynonyms brightmagenta
|
||||
keyword whole MagicHash brightmagenta
|
||||
keyword whole MultiParamTypeClasses brightmagenta
|
||||
keyword whole NamedFieldPuns brightmagenta
|
||||
keyword whole NewQualifiedOperators brightmagenta
|
||||
keyword whole NoImplicitPrelude brightmagenta
|
||||
keyword whole NoMonomorphismRestriction brightmagenta
|
||||
keyword whole NoMonoPatBinds brightmagenta
|
||||
keyword whole OverlappingInstances brightmagenta
|
||||
keyword whole OverloadedStrings brightmagenta
|
||||
keyword whole PackageImports brightmagenta
|
||||
keyword whole ParallelListComp brightmagenta
|
||||
keyword whole PatternGuards brightmagenta
|
||||
keyword whole PatternSignatures brightmagenta
|
||||
keyword whole PolymorphicComponents brightmagenta
|
||||
keyword whole PostfixOperators brightmagenta
|
||||
keyword whole QuasiQuotes brightmagenta
|
||||
keyword whole Rank2Types brightmagenta
|
||||
keyword whole RankNTypes brightmagenta
|
||||
keyword whole RecordPuns brightmagenta
|
||||
keyword whole RecordWildCards brightmagenta
|
||||
keyword whole RecursiveDo brightmagenta
|
||||
keyword whole RelaxedPolyRec brightmagenta
|
||||
keyword whole RestrictedTypeSynonyms brightmagenta
|
||||
keyword whole ScopedTypeVariables brightmagenta
|
||||
keyword whole StandaloneDeriving brightmagenta
|
||||
keyword whole TemplateHaskell brightmagenta
|
||||
keyword whole TransformListComp brightmagenta
|
||||
keyword whole TypeFamilies brightmagenta
|
||||
keyword whole TypeOperators brightmagenta
|
||||
keyword whole TypeSynonymInstances brightmagenta
|
||||
keyword whole UnboxedTuples brightmagenta
|
||||
keyword whole UndecidableInstances brightmagenta
|
||||
keyword whole UnicodeSyntax brightmagenta
|
||||
keyword whole UnliftedFFITypes brightmagenta
|
||||
keyword whole ViewPatterns brightmagenta
|
||||
|
||||
# links
|
||||
keyword http://+ gray
|
||||
keyword ftp://+ gray
|
||||
keyword git://+ gray
|
||||
keyword cvs://+ gray
|
||||
keyword svn://+ gray
|
||||
keyword http://+ gray
|
||||
keyword ftp://+ gray
|
||||
keyword git://+ gray
|
||||
keyword cvs://+ gray
|
||||
keyword svn://+ gray
|
||||
keyword darcs://+ gray
|
||||
# special text inside of <>, i.e. emails
|
||||
keyword \<+\> red
|
||||
keyword \<+\> red
|
||||
|
||||
# build types with '\s & \n' hack to remove too stupid highlighting
|
||||
keyword whole Configure\s white
|
||||
keyword whole Configure\n white
|
||||
keyword whole Custom\s white
|
||||
keyword whole Custom\n white
|
||||
keyword whole Make\s white
|
||||
keyword whole Make\n white
|
||||
keyword whole Simple\n white
|
||||
keyword whole Simple\s white
|
||||
keyword whole Custom\s white
|
||||
keyword whole Custom\n white
|
||||
keyword whole Make\s white
|
||||
keyword whole Make\n white
|
||||
keyword whole Simple\n white
|
||||
keyword whole Simple\s white
|
||||
|
||||
# licenses
|
||||
keyword whole AllRightsReserved white
|
||||
keyword whole OtherLicense white
|
||||
keyword whole PublicDomain white
|
||||
keyword whole LGPL white
|
||||
keyword whole GPL white
|
||||
keyword whole LGPL2 white
|
||||
keyword whole GPL2 white
|
||||
keyword whole LGPL3 white
|
||||
keyword whole GPL3 white
|
||||
keyword whole BSD white
|
||||
keyword whole BSD3 white
|
||||
keyword whole BSD4 white
|
||||
keyword whole MIT white
|
||||
keyword whole OtherLicense white
|
||||
keyword whole PublicDomain white
|
||||
keyword whole LGPL white
|
||||
keyword whole GPL white
|
||||
keyword whole LGPL2 white
|
||||
keyword whole GPL2 white
|
||||
keyword whole LGPL3 white
|
||||
keyword whole GPL3 white
|
||||
keyword whole BSD white
|
||||
keyword whole BSD3 white
|
||||
keyword whole BSD4 white
|
||||
keyword whole MIT white
|
||||
|
||||
# compillers
|
||||
keyword whole GHC white
|
||||
keyword whole NHC white
|
||||
keyword whole JHC white
|
||||
keyword whole HBC white
|
||||
keyword whole Helium white
|
||||
keyword whole Hugs white
|
||||
keyword whole GHC white
|
||||
keyword whole NHC white
|
||||
keyword whole JHC white
|
||||
keyword whole HBC white
|
||||
keyword whole Helium white
|
||||
keyword whole Hugs white
|
||||
|
||||
# special keywords
|
||||
keyword whole True white
|
||||
keyword whole True white
|
||||
keyword whole False white
|
||||
keyword whole if white
|
||||
keyword whole else white
|
||||
@ -205,14 +205,14 @@ context default
|
||||
# keyword whole \{ABCDEFGHIJKLMNOPQRSTUVWXYZ\}\[0123456789ABCDEFGHIJKLMNOPQRSTUVWXYZ_abcdefghijklmnopqrstuvwxyz\] white
|
||||
|
||||
#digits
|
||||
keyword whole 0\{xX\}\{0123456789abcdefABCDEF\}\[0123456789abcdefABCDEF\] brightgreen
|
||||
keyword whole \{0123456789\}\[0123456789\] brightgreen
|
||||
keyword whole \[0123456789\]\.\{0123456789\}\[0123456789\] brightgreen
|
||||
keyword whole \{0123456789\}\[0123456789\]\.\[0123456789\] brightgreen
|
||||
keyword whole \{0123456789\}\[0123456789\]\{eE\}\{0123456789\}\[0123456789\] brightgreen
|
||||
keyword whole \{0123456789\}\[0123456789\]\{eE\}\{\-\+\}\{0123456789\}\[0123456789\] brightgreen
|
||||
keyword whole \{0123456789\}\[0123456789\]\.\{0123456789\}\[0123456789\]\{eE\}\{0123456789\}\[0123456789\] brightgreen
|
||||
keyword whole \{0123456789\}\[0123456789\]\.\{0123456789\}\[0123456789\]\{eE\}\{\-\+\}\{0123456789\}\[0123456789\] brightgreen
|
||||
keyword whole 0\{xX\}\{0123456789abcdefABCDEF\}\[0123456789abcdefABCDEF\] brightgreen
|
||||
keyword whole \{0123456789\}\[0123456789\] brightgreen
|
||||
keyword whole \[0123456789\]\.\{0123456789\}\[0123456789\] brightgreen
|
||||
keyword whole \{0123456789\}\[0123456789\]\.\[0123456789\] brightgreen
|
||||
keyword whole \{0123456789\}\[0123456789\]\{eE\}\{0123456789\}\[0123456789\] brightgreen
|
||||
keyword whole \{0123456789\}\[0123456789\]\{eE\}\{\-\+\}\{0123456789\}\[0123456789\] brightgreen
|
||||
keyword whole \{0123456789\}\[0123456789\]\.\{0123456789\}\[0123456789\]\{eE\}\{0123456789\}\[0123456789\] brightgreen
|
||||
keyword whole \{0123456789\}\[0123456789\]\.\{0123456789\}\[0123456789\]\{eE\}\{\-\+\}\{0123456789\}\[0123456789\] brightgreen
|
||||
|
||||
|
||||
# some punctuation characters
|
||||
|
@ -69,463 +69,457 @@ context default
|
||||
keyword \:\:up-arrow white
|
||||
keyword \:\:up-button white
|
||||
|
||||
keyword \:active brightmagenta
|
||||
keyword \:after brightmagenta
|
||||
keyword \:visited brightmagenta
|
||||
keyword \:before brightmagenta
|
||||
keyword \:first-child brightmagenta
|
||||
keyword \:first-letter brightmagenta
|
||||
keyword \:first-line brightmagenta
|
||||
keyword \:focus brightmagenta
|
||||
keyword \:lang brightmagenta
|
||||
keyword \:link brightmagenta
|
||||
keyword \:active brightmagenta
|
||||
keyword \:adjoins-item brightmagenta
|
||||
keyword \:alternate brightmagenta
|
||||
keyword \:bottom brightmagenta
|
||||
keyword \:checked brightmagenta
|
||||
keyword \:closable brightmagenta
|
||||
keyword \:closed brightmagenta
|
||||
keyword \:default brightmagenta
|
||||
keyword \:disabled brightmagenta
|
||||
keyword \:editable brightmagenta
|
||||
keyword \:edit-focus brightmagenta
|
||||
keyword \:enabled brightmagenta
|
||||
keyword \:exclusive brightmagenta
|
||||
keyword \:first brightmagenta
|
||||
keyword \:flat brightmagenta
|
||||
keyword \:floatable brightmagenta
|
||||
keyword \:focus brightmagenta
|
||||
keyword \:has-children brightmagenta
|
||||
keyword \:has-siblings brightmagenta
|
||||
keyword \:horizontal brightmagenta
|
||||
keyword \:hover brightmagenta
|
||||
keyword \:indeterminate brightmagenta
|
||||
keyword \:last brightmagenta
|
||||
keyword \:left brightmagenta
|
||||
keyword \:maximized brightmagenta
|
||||
keyword \:middle brightmagenta
|
||||
keyword \:minimized brightmagenta
|
||||
keyword \:movable brightmagenta
|
||||
keyword \:no-frame brightmagenta
|
||||
keyword \:non-exclusive brightmagenta
|
||||
keyword \:off brightmagenta
|
||||
keyword \:on brightmagenta
|
||||
keyword \:only-one brightmagenta
|
||||
keyword \:open brightmagenta
|
||||
keyword \:next-selected brightmagenta
|
||||
keyword \:pressed brightmagenta
|
||||
keyword \:active brightmagenta
|
||||
keyword \:after brightmagenta
|
||||
keyword \:visited brightmagenta
|
||||
keyword \:before brightmagenta
|
||||
keyword \:first-child brightmagenta
|
||||
keyword \:first-letter brightmagenta
|
||||
keyword \:first-line brightmagenta
|
||||
keyword \:focus brightmagenta
|
||||
keyword \:lang brightmagenta
|
||||
keyword \:link brightmagenta
|
||||
keyword \:active brightmagenta
|
||||
keyword \:adjoins-item brightmagenta
|
||||
keyword \:alternate brightmagenta
|
||||
keyword \:bottom brightmagenta
|
||||
keyword \:checked brightmagenta
|
||||
keyword \:closable brightmagenta
|
||||
keyword \:closed brightmagenta
|
||||
keyword \:default brightmagenta
|
||||
keyword \:disabled brightmagenta
|
||||
keyword \:editable brightmagenta
|
||||
keyword \:edit-focus brightmagenta
|
||||
keyword \:enabled brightmagenta
|
||||
keyword \:exclusive brightmagenta
|
||||
keyword \:first brightmagenta
|
||||
keyword \:flat brightmagenta
|
||||
keyword \:floatable brightmagenta
|
||||
keyword \:focus brightmagenta
|
||||
keyword \:has-children brightmagenta
|
||||
keyword \:has-siblings brightmagenta
|
||||
keyword \:horizontal brightmagenta
|
||||
keyword \:hover brightmagenta
|
||||
keyword \:indeterminate brightmagenta
|
||||
keyword \:last brightmagenta
|
||||
keyword \:left brightmagenta
|
||||
keyword \:maximized brightmagenta
|
||||
keyword \:middle brightmagenta
|
||||
keyword \:minimized brightmagenta
|
||||
keyword \:movable brightmagenta
|
||||
keyword \:no-frame brightmagenta
|
||||
keyword \:non-exclusive brightmagenta
|
||||
keyword \:off brightmagenta
|
||||
keyword \:on brightmagenta
|
||||
keyword \:only-one brightmagenta
|
||||
keyword \:open brightmagenta
|
||||
keyword \:next-selected brightmagenta
|
||||
keyword \:pressed brightmagenta
|
||||
keyword \:previous-selected brightmagenta
|
||||
keyword \:read-only brightmagenta
|
||||
keyword \:right brightmagenta
|
||||
keyword \:selected brightmagenta
|
||||
keyword \:top brightmagenta
|
||||
keyword \:unchecked brightmagenta
|
||||
keyword \:vertical brightmagenta
|
||||
keyword \:window brightmagenta
|
||||
keyword \:read-only brightmagenta
|
||||
keyword \:right brightmagenta
|
||||
keyword \:selected brightmagenta
|
||||
keyword \:top brightmagenta
|
||||
keyword \:unchecked brightmagenta
|
||||
keyword \:vertical brightmagenta
|
||||
keyword \:window brightmagenta
|
||||
|
||||
keyword whole background-attachment lightgray
|
||||
keyword whole background-color lightgray
|
||||
keyword whole background-image lightgray
|
||||
keyword whole background-position lightgray
|
||||
keyword whole background-repeat lightgray
|
||||
keyword whole background lightgray
|
||||
keyword whole bidi-override lightgray
|
||||
keyword whole border-bottom lightgray
|
||||
keyword whole border-bottom-color lightgray
|
||||
keyword whole border-bottom-style lightgray
|
||||
keyword whole border-bottom-width lightgray
|
||||
keyword whole border-collapse lightgray
|
||||
keyword whole border-color lightgray
|
||||
keyword whole border-left lightgray
|
||||
keyword whole border-left-color lightgray
|
||||
keyword whole border-left-style lightgray
|
||||
keyword whole border-left-width lightgray
|
||||
keyword whole border-right lightgray
|
||||
keyword whole border-right-color lightgray
|
||||
keyword whole border-right-style lightgray
|
||||
keyword whole border-right-width lightgray
|
||||
keyword whole border-spacing lightgray
|
||||
keyword whole border-style lightgray
|
||||
keyword whole border-top lightgray
|
||||
keyword whole border-top-color lightgray
|
||||
keyword whole border-top-style lightgray
|
||||
keyword whole border-top-width lightgray
|
||||
keyword whole border-width lightgray
|
||||
keyword whole border lightgray
|
||||
keyword whole bottom lightgray
|
||||
keyword whole caption-side lightgray
|
||||
keyword whole clear lightgray
|
||||
keyword whole clip lightgray
|
||||
keyword whole color lightgray
|
||||
keyword whole content lightgray
|
||||
keyword whole counter-increment lightgray
|
||||
keyword whole cursor lightgray
|
||||
keyword whole direction lightgray
|
||||
keyword whole display lightgray
|
||||
keyword whole empty-cells lightgray
|
||||
keyword whole fixed lightgray
|
||||
keyword whole float lightgray
|
||||
keyword whole font-size-adjust lightgray
|
||||
keyword whole font-stretch lightgray
|
||||
keyword whole font-family lightgray
|
||||
keyword whole font-size lightgray
|
||||
keyword whole font-family lightgray
|
||||
keyword whole font-style lightgray
|
||||
keyword whole font-variant lightgray
|
||||
keyword whole font-weight lightgray
|
||||
keyword whole font lightgray
|
||||
keyword whole generic-family lightgray
|
||||
keyword whole height lightgray
|
||||
keyword whole identifier lightgray
|
||||
keyword whole image lightgray
|
||||
keyword whole justify lightgray
|
||||
keyword whole left lightgray
|
||||
keyword whole length lightgray
|
||||
keyword whole letter-spacing lightgray
|
||||
keyword whole line-height lightgray
|
||||
keyword whole list-style-image lightgray
|
||||
keyword whole list-style-position lightgray
|
||||
keyword whole list-style-type lightgray
|
||||
keyword whole list-style lightgray
|
||||
keyword whole margin-left lightgray
|
||||
keyword whole margin-top lightgray
|
||||
keyword whole margin lightgray
|
||||
keyword whole marker-offset lightgray
|
||||
keyword whole max-height lightgray
|
||||
keyword whole max-width lightgray
|
||||
keyword whole min-height lightgray
|
||||
keyword whole min-width lightgray
|
||||
keyword whole nowrap lightgray
|
||||
keyword whole outline-color lightgray
|
||||
keyword whole outline-style lightgray
|
||||
keyword whole outline-width lightgray
|
||||
keyword whole outline lightgray
|
||||
keyword whole padding-left lightgray
|
||||
keyword whole padding-top lightgray
|
||||
keyword whole padding lightgray
|
||||
keyword whole position lightgray
|
||||
keyword whole quotes lightgray
|
||||
keyword whole right lightgray
|
||||
keyword whole subcontrol-origin lightgray
|
||||
keyword whole subcontrol-position lightgray
|
||||
keyword whole table-caption lightgray
|
||||
keyword whole table-layout lightgray
|
||||
keyword whole text-align lightgray
|
||||
keyword whole text-decoration lightgray
|
||||
keyword whole text-indent lightgray
|
||||
keyword whole text-shadow lightgray
|
||||
keyword whole text-transform lightgray
|
||||
keyword whole top lightgray
|
||||
keyword whole unicode-bidi lightgray
|
||||
keyword whole vertical-align lightgray
|
||||
keyword whole visibility lightgray
|
||||
keyword whole white-space lightgray
|
||||
keyword whole width lightgray
|
||||
keyword whole word-spacing lightgray
|
||||
keyword whole background-attachment lightgray
|
||||
keyword whole background-color lightgray
|
||||
keyword whole background-image lightgray
|
||||
keyword whole background-position lightgray
|
||||
keyword whole background-repeat lightgray
|
||||
keyword whole background lightgray
|
||||
keyword whole bidi-override lightgray
|
||||
keyword whole border-bottom lightgray
|
||||
keyword whole border-bottom-color lightgray
|
||||
keyword whole border-bottom-style lightgray
|
||||
keyword whole border-bottom-width lightgray
|
||||
keyword whole border-collapse lightgray
|
||||
keyword whole border-color lightgray
|
||||
keyword whole border-left lightgray
|
||||
keyword whole border-left-color lightgray
|
||||
keyword whole border-left-style lightgray
|
||||
keyword whole border-left-width lightgray
|
||||
keyword whole border-right lightgray
|
||||
keyword whole border-right-color lightgray
|
||||
keyword whole border-right-style lightgray
|
||||
keyword whole border-right-width lightgray
|
||||
keyword whole border-spacing lightgray
|
||||
keyword whole border-style lightgray
|
||||
keyword whole border-top lightgray
|
||||
keyword whole border-top-color lightgray
|
||||
keyword whole border-top-style lightgray
|
||||
keyword whole border-top-width lightgray
|
||||
keyword whole border-width lightgray
|
||||
keyword whole border lightgray
|
||||
keyword whole bottom lightgray
|
||||
keyword whole caption-side lightgray
|
||||
keyword whole clear lightgray
|
||||
keyword whole clip lightgray
|
||||
keyword whole color lightgray
|
||||
keyword whole content lightgray
|
||||
keyword whole counter-increment lightgray
|
||||
keyword whole cursor lightgray
|
||||
keyword whole direction lightgray
|
||||
keyword whole display lightgray
|
||||
keyword whole empty-cells lightgray
|
||||
keyword whole fixed lightgray
|
||||
keyword whole float lightgray
|
||||
keyword whole font-size-adjust lightgray
|
||||
keyword whole font-stretch lightgray
|
||||
keyword whole font-family lightgray
|
||||
keyword whole font-size lightgray
|
||||
keyword whole font-family lightgray
|
||||
keyword whole font-style lightgray
|
||||
keyword whole font-variant lightgray
|
||||
keyword whole font-weight lightgray
|
||||
keyword whole font lightgray
|
||||
keyword whole generic-family lightgray
|
||||
keyword whole height lightgray
|
||||
keyword whole identifier lightgray
|
||||
keyword whole image lightgray
|
||||
keyword whole justify lightgray
|
||||
keyword whole left lightgray
|
||||
keyword whole length lightgray
|
||||
keyword whole letter-spacing lightgray
|
||||
keyword whole line-height lightgray
|
||||
keyword whole list-style-image lightgray
|
||||
keyword whole list-style-position lightgray
|
||||
keyword whole list-style-type lightgray
|
||||
keyword whole list-style lightgray
|
||||
keyword whole margin-left lightgray
|
||||
keyword whole margin-top lightgray
|
||||
keyword whole margin lightgray
|
||||
keyword whole marker-offset lightgray
|
||||
keyword whole max-height lightgray
|
||||
keyword whole max-width lightgray
|
||||
keyword whole min-height lightgray
|
||||
keyword whole min-width lightgray
|
||||
keyword whole nowrap lightgray
|
||||
keyword whole outline-color lightgray
|
||||
keyword whole outline-style lightgray
|
||||
keyword whole outline-width lightgray
|
||||
keyword whole outline lightgray
|
||||
keyword whole padding-left lightgray
|
||||
keyword whole padding-top lightgray
|
||||
keyword whole padding lightgray
|
||||
keyword whole position lightgray
|
||||
keyword whole quotes lightgray
|
||||
keyword whole right lightgray
|
||||
keyword whole subcontrol-origin lightgray
|
||||
keyword whole subcontrol-position lightgray
|
||||
keyword whole table-caption lightgray
|
||||
keyword whole table-layout lightgray
|
||||
keyword whole text-align lightgray
|
||||
keyword whole text-decoration lightgray
|
||||
keyword whole text-indent lightgray
|
||||
keyword whole text-shadow lightgray
|
||||
keyword whole text-transform lightgray
|
||||
keyword whole top lightgray
|
||||
keyword whole unicode-bidi lightgray
|
||||
keyword whole vertical-align lightgray
|
||||
keyword whole visibility lightgray
|
||||
keyword whole white-space lightgray
|
||||
keyword whole width lightgray
|
||||
keyword whole word-spacing lightgray
|
||||
|
||||
keyword whole qconicalgradient brightgreen
|
||||
keyword whole qlineargradient brightgreen
|
||||
keyword whole qradialgradient brightgreen
|
||||
keyword whole qconicalgradient brightgreen
|
||||
keyword whole qlineargradient brightgreen
|
||||
keyword whole qradialgradient brightgreen
|
||||
|
||||
keyword whole left brightgreen
|
||||
keyword whole right brightgreen
|
||||
keyword whole center brightgreen
|
||||
keyword whole top brightgreen
|
||||
keyword whole bottom brightgreen
|
||||
keyword whole bold brightgreen
|
||||
keyword whole small brightgreen
|
||||
keyword whole smaller brightgreen
|
||||
keyword whole italic brightgreen
|
||||
keyword whole bolder brightgreen
|
||||
keyword whole underline brightgreen
|
||||
keyword whole absolute brightgreen
|
||||
keyword whole left brightgreen
|
||||
keyword whole right brightgreen
|
||||
keyword whole center brightgreen
|
||||
keyword whole top brightgreen
|
||||
keyword whole bottom brightgreen
|
||||
keyword whole bold brightgreen
|
||||
keyword whole small brightgreen
|
||||
keyword whole smaller brightgreen
|
||||
keyword whole italic brightgreen
|
||||
keyword whole bolder brightgreen
|
||||
keyword whole underline brightgreen
|
||||
keyword whole absolute brightgreen
|
||||
|
||||
keyword whole none brightgreen
|
||||
keyword whole auto brightgreen
|
||||
keyword whole blink brightgreen
|
||||
keyword whole hide brightgreen
|
||||
keyword whole none brightgreen
|
||||
keyword whole auto brightgreen
|
||||
keyword whole blink brightgreen
|
||||
keyword whole hide brightgreen
|
||||
|
||||
keyword whole thin brightgreen
|
||||
keyword whole medium brightgreen
|
||||
keyword whole thick brightgreen
|
||||
keyword whole thin brightgreen
|
||||
keyword whole medium brightgreen
|
||||
keyword whole thick brightgreen
|
||||
|
||||
keyword whole disc brightgreen
|
||||
keyword whole circle brightgreen
|
||||
keyword whole square brightgreen
|
||||
keyword whole decimal brightgreen
|
||||
keyword whole decimal-leading-zero brightgreen
|
||||
keyword whole lower-roman brightgreen
|
||||
keyword whole upper-roman brightgreen
|
||||
keyword whole lower-alpha brightgreen
|
||||
keyword whole upper-alpha brightgreen
|
||||
keyword whole lower-greek brightgreen
|
||||
keyword whole lower-latin brightgreen
|
||||
keyword whole upper-latin brightgreen
|
||||
keyword whole hebrew brightgreen
|
||||
keyword whole armenian brightgreen
|
||||
keyword whole georgian brightgreen
|
||||
keyword whole cjk-ideographic brightgreen
|
||||
keyword whole hiragana brightgreen
|
||||
keyword whole katakana brightgreen
|
||||
keyword whole hiragana-iroha brightgreen
|
||||
keyword whole katakana-iroha brightgreen
|
||||
keyword whole disc brightgreen
|
||||
keyword whole circle brightgreen
|
||||
keyword whole square brightgreen
|
||||
keyword whole decimal brightgreen
|
||||
keyword whole decimal-leading-zero brightgreen
|
||||
keyword whole lower-roman brightgreen
|
||||
keyword whole upper-roman brightgreen
|
||||
keyword whole lower-alpha brightgreen
|
||||
keyword whole upper-alpha brightgreen
|
||||
keyword whole lower-greek brightgreen
|
||||
keyword whole lower-latin brightgreen
|
||||
keyword whole upper-latin brightgreen
|
||||
keyword whole hebrew brightgreen
|
||||
keyword whole armenian brightgreen
|
||||
keyword whole georgian brightgreen
|
||||
keyword whole cjk-ideographic brightgreen
|
||||
keyword whole hiragana brightgreen
|
||||
keyword whole katakana brightgreen
|
||||
keyword whole hiragana-iroha brightgreen
|
||||
keyword whole katakana-iroha brightgreen
|
||||
|
||||
keyword whole invert brightgreen
|
||||
keyword whole oblique brightgreen
|
||||
keyword whole no-close-quote brightgreen
|
||||
keyword whole repeat-x brightgreen
|
||||
keyword whole repeat-y brightgreen
|
||||
keyword whole repeat brightgreen
|
||||
keyword whole no-repeat brightgreen
|
||||
keyword whole small-caps brightgreen
|
||||
keyword whole transparent brightgreen
|
||||
keyword whole invert brightgreen
|
||||
keyword whole oblique brightgreen
|
||||
keyword whole no-close-quote brightgreen
|
||||
keyword whole repeat-x brightgreen
|
||||
keyword whole repeat-y brightgreen
|
||||
keyword whole repeat brightgreen
|
||||
keyword whole no-repeat brightgreen
|
||||
keyword whole small-caps brightgreen
|
||||
keyword whole transparent brightgreen
|
||||
|
||||
keyword whole visible brightgreen
|
||||
keyword whole hidden brightgreen
|
||||
keyword whole dotted brightgreen
|
||||
keyword whole visible brightgreen
|
||||
keyword whole hidden brightgreen
|
||||
keyword whole dotted brightgreen
|
||||
|
||||
keyword whole dashed brightgreen
|
||||
keyword whole solid brightgreen
|
||||
keyword whole double brightgreen
|
||||
keyword whole groove brightgreen
|
||||
keyword whole ridge brightgreen
|
||||
keyword whole inset brightgreen
|
||||
keyword whole outset brightgreen
|
||||
keyword whole dashed brightgreen
|
||||
keyword whole solid brightgreen
|
||||
keyword whole double brightgreen
|
||||
keyword whole groove brightgreen
|
||||
keyword whole ridge brightgreen
|
||||
keyword whole inset brightgreen
|
||||
keyword whole outset brightgreen
|
||||
|
||||
keyword whole auto brightgreen
|
||||
keyword whole crosshair brightgreen
|
||||
keyword whole default brightgreen
|
||||
keyword whole pointer brightgreen
|
||||
keyword whole move brightgreen
|
||||
keyword whole e-resize brightgreen
|
||||
keyword whole ne-resize brightgreen
|
||||
keyword whole nw-resize brightgreen
|
||||
keyword whole n-resize brightgreen
|
||||
keyword whole se-resize brightgreen
|
||||
keyword whole sw-resize brightgreen
|
||||
keyword whole s-resize brightgreen
|
||||
keyword whole w-resize brightgreen
|
||||
keyword whole text brightgreen
|
||||
keyword whole wait brightgreen
|
||||
keyword whole help brightgreen
|
||||
keyword whole auto brightgreen
|
||||
keyword whole crosshair brightgreen
|
||||
keyword whole default brightgreen
|
||||
keyword whole pointer brightgreen
|
||||
keyword whole move brightgreen
|
||||
keyword whole e-resize brightgreen
|
||||
keyword whole ne-resize brightgreen
|
||||
keyword whole nw-resize brightgreen
|
||||
keyword whole n-resize brightgreen
|
||||
keyword whole se-resize brightgreen
|
||||
keyword whole sw-resize brightgreen
|
||||
keyword whole s-resize brightgreen
|
||||
keyword whole w-resize brightgreen
|
||||
keyword whole text brightgreen
|
||||
keyword whole wait brightgreen
|
||||
keyword whole help brightgreen
|
||||
|
||||
keyword whole inline brightgreen
|
||||
keyword whole block brightgreen
|
||||
keyword whole list-item brightgreen
|
||||
keyword whole run-in brightgreen
|
||||
keyword whole compact brightgreen
|
||||
keyword whole marker brightgreen
|
||||
keyword whole table brightgreen
|
||||
keyword whole inline-table brightgreen
|
||||
keyword whole table-row-group brightgreen
|
||||
keyword whole table-header-group brightgreen
|
||||
keyword whole table-footer-group brightgreen
|
||||
keyword whole table-row brightgreen
|
||||
keyword whole table-column-group brightgreen
|
||||
keyword whole table-column brightgreen
|
||||
keyword whole table-cell brightgreen
|
||||
keyword whole table-caption brightgreen
|
||||
keyword whole inline brightgreen
|
||||
keyword whole block brightgreen
|
||||
keyword whole list-item brightgreen
|
||||
keyword whole run-in brightgreen
|
||||
keyword whole compact brightgreen
|
||||
keyword whole marker brightgreen
|
||||
keyword whole table brightgreen
|
||||
keyword whole inline-table brightgreen
|
||||
keyword whole table-row-group brightgreen
|
||||
keyword whole table-header-group brightgreen
|
||||
keyword whole table-footer-group brightgreen
|
||||
keyword whole table-row brightgreen
|
||||
keyword whole table-column-group brightgreen
|
||||
keyword whole table-column brightgreen
|
||||
keyword whole table-cell brightgreen
|
||||
keyword whole table-caption brightgreen
|
||||
|
||||
keyword whole small brightgreen
|
||||
keyword whole medium brightgreen
|
||||
keyword whole large brightgreen
|
||||
keyword whole small brightgreen
|
||||
keyword whole medium brightgreen
|
||||
keyword whole large brightgreen
|
||||
|
||||
keyword whole normal brightgreen
|
||||
keyword whole bold brightgreen
|
||||
keyword whole bolder brightgreen
|
||||
keyword whole lighter brightgreen
|
||||
keyword whole normal brightgreen
|
||||
keyword whole bold brightgreen
|
||||
keyword whole bolder brightgreen
|
||||
keyword whole lighter brightgreen
|
||||
|
||||
keyword whole open-quote brightgreen
|
||||
keyword whole close-quote brightgreen
|
||||
keyword whole no-open-quote brightgreen
|
||||
keyword whole no-close-quote brightgreen
|
||||
keyword whole open-quote brightgreen
|
||||
keyword whole close-quote brightgreen
|
||||
keyword whole no-open-quote brightgreen
|
||||
keyword whole no-close-quote brightgreen
|
||||
|
||||
keyword whole dotted brightgreen
|
||||
keyword whole dashed brightgreen
|
||||
keyword whole solid brightgreen
|
||||
keyword whole double brightgreen
|
||||
keyword whole groove brightgreen
|
||||
keyword whole ridge brightgreen
|
||||
keyword whole inset brightgreen
|
||||
keyword whole outset brightgreen
|
||||
keyword whole dotted brightgreen
|
||||
keyword whole dashed brightgreen
|
||||
keyword whole solid brightgreen
|
||||
keyword whole double brightgreen
|
||||
keyword whole groove brightgreen
|
||||
keyword whole ridge brightgreen
|
||||
keyword whole inset brightgreen
|
||||
keyword whole outset brightgreen
|
||||
|
||||
keyword whole baseline brightgreen
|
||||
keyword whole sub brightgreen
|
||||
keyword whole super brightgreen
|
||||
keyword whole top brightgreen
|
||||
keyword whole text-top brightgreen
|
||||
keyword whole middle brightgreen
|
||||
keyword whole bottom brightgreen
|
||||
keyword whole text-bottom brightgreen
|
||||
keyword whole baseline brightgreen
|
||||
keyword whole sub brightgreen
|
||||
keyword whole super brightgreen
|
||||
keyword whole top brightgreen
|
||||
keyword whole text-top brightgreen
|
||||
keyword whole middle brightgreen
|
||||
keyword whole bottom brightgreen
|
||||
keyword whole text-bottom brightgreen
|
||||
|
||||
keyword whole collapse brightgreen
|
||||
keyword whole separate brightgreen
|
||||
keyword whole collapse brightgreen
|
||||
keyword whole separate brightgreen
|
||||
|
||||
keyword whole capitalize brightgreen
|
||||
keyword whole uppercase brightgreen
|
||||
keyword whole lowercase brightgreen
|
||||
keyword whole capitalize brightgreen
|
||||
keyword whole uppercase brightgreen
|
||||
keyword whole lowercase brightgreen
|
||||
|
||||
keyword whole pre brightgreen
|
||||
keyword whole nowrap brightgreen
|
||||
|
||||
keyword whole pre brightgreen
|
||||
keyword whole nowrap brightgreen
|
||||
keyword whole aliceblue brightgreen
|
||||
keyword whole antiquewhite brightgreen
|
||||
keyword whole aqua brightgreen
|
||||
keyword whole aquamarine brightgreen
|
||||
keyword whole azure brightgreen
|
||||
keyword whole beige brightgreen
|
||||
keyword whole bisque brightgreen
|
||||
keyword whole black brightgreen
|
||||
keyword whole blanchedalmond brightgreen
|
||||
keyword whole blue brightgreen
|
||||
keyword whole blueviolet brightgreen
|
||||
keyword whole brown brightgreen
|
||||
keyword whole burlywood brightgreen
|
||||
keyword whole cadetblue brightgreen
|
||||
keyword whole chartreuse brightgreen
|
||||
keyword whole chocolate brightgreen
|
||||
keyword whole coral brightgreen
|
||||
keyword whole cornflowerblue brightgreen
|
||||
keyword whole cornsilk brightgreen
|
||||
keyword whole crimson brightgreen
|
||||
keyword whole cyan brightgreen
|
||||
keyword whole darkblue brightgreen
|
||||
keyword whole darkcyan brightgreen
|
||||
keyword whole darkgoldenrod brightgreen
|
||||
keyword whole darkgray brightgreen
|
||||
keyword whole darkgreen brightgreen
|
||||
keyword whole darkkhaki brightgreen
|
||||
keyword whole darkmagenta brightgreen
|
||||
keyword whole darkolivegreen brightgreen
|
||||
keyword whole darkorange brightgreen
|
||||
keyword whole darkorchid brightgreen
|
||||
keyword whole darkred brightgreen
|
||||
keyword whole darksalmon brightgreen
|
||||
keyword whole darkseagreen brightgreen
|
||||
keyword whole darkslateblue brightgreen
|
||||
keyword whole darkslategray brightgreen
|
||||
keyword whole darkturquoise brightgreen
|
||||
keyword whole darkviolet brightgreen
|
||||
keyword whole deeppink brightgreen
|
||||
keyword whole deepskyblue brightgreen
|
||||
keyword whole dimgray brightgreen
|
||||
keyword whole dodgerblue brightgreen
|
||||
keyword whole feldspar brightgreen
|
||||
keyword whole firebrick brightgreen
|
||||
keyword whole floralwhite brightgreen
|
||||
keyword whole forestgreen brightgreen
|
||||
keyword whole fuchsia brightgreen
|
||||
keyword whole gainsboro brightgreen
|
||||
keyword whole ghostwhite brightgreen
|
||||
keyword whole gold brightgreen
|
||||
keyword whole goldenrod brightgreen
|
||||
keyword whole gray brightgreen
|
||||
keyword whole green brightgreen
|
||||
keyword whole greenyellow brightgreen
|
||||
keyword whole honeydew brightgreen
|
||||
keyword whole hotpink brightgreen
|
||||
keyword whole indianred brightgreen
|
||||
keyword whole indigo brightgreen
|
||||
keyword whole ivory brightgreen
|
||||
keyword whole khaki brightgreen
|
||||
keyword whole lavender brightgreen
|
||||
keyword whole lavenderblush brightgreen
|
||||
keyword whole lawngreen brightgreen
|
||||
keyword whole lemonchiffon brightgreen
|
||||
keyword whole lightblue brightgreen
|
||||
keyword whole lightcoral brightgreen
|
||||
keyword whole lightcyan brightgreen
|
||||
keyword whole lightgoldenrodyellow brightgreen
|
||||
keyword whole lightgrey brightgreen
|
||||
keyword whole lightgreen brightgreen
|
||||
keyword whole lightpink brightgreen
|
||||
keyword whole lightsalmon brightgreen
|
||||
keyword whole lightseagreen brightgreen
|
||||
keyword whole lightskyblue brightgreen
|
||||
keyword whole lightslateblue brightgreen
|
||||
keyword whole lightslategray brightgreen
|
||||
keyword whole lightsteelblue brightgreen
|
||||
keyword whole lightyellow brightgreen
|
||||
keyword whole lime brightgreen
|
||||
keyword whole limegreen brightgreen
|
||||
keyword whole linen brightgreen
|
||||
keyword whole magenta brightgreen
|
||||
keyword whole maroon brightgreen
|
||||
keyword whole mediumaquamarine brightgreen
|
||||
keyword whole mediumblue brightgreen
|
||||
keyword whole mediumorchid brightgreen
|
||||
keyword whole mediumpurple brightgreen
|
||||
keyword whole mediumseagreen brightgreen
|
||||
keyword whole mediumslateblue brightgreen
|
||||
keyword whole mediumspringgreen brightgreen
|
||||
keyword whole mediumturquoise brightgreen
|
||||
keyword whole mediumvioletred brightgreen
|
||||
keyword whole midnightblue brightgreen
|
||||
keyword whole mintcream brightgreen
|
||||
keyword whole mistyrose brightgreen
|
||||
keyword whole moccasin brightgreen
|
||||
keyword whole navajowhite brightgreen
|
||||
keyword whole navy brightgreen
|
||||
keyword whole oldlace brightgreen
|
||||
keyword whole olive brightgreen
|
||||
keyword whole olivedrab brightgreen
|
||||
keyword whole orange brightgreen
|
||||
keyword whole orangered brightgreen
|
||||
keyword whole orchid brightgreen
|
||||
keyword whole palegoldenrod brightgreen
|
||||
keyword whole palegreen brightgreen
|
||||
keyword whole paleturquoise brightgreen
|
||||
keyword whole palevioletred brightgreen
|
||||
keyword whole papayawhip brightgreen
|
||||
keyword whole peachpuff brightgreen
|
||||
keyword whole peru brightgreen
|
||||
keyword whole pink brightgreen
|
||||
keyword whole plum brightgreen
|
||||
keyword whole powderblue brightgreen
|
||||
keyword whole purple brightgreen
|
||||
keyword whole red brightgreen
|
||||
keyword whole rosybrown brightgreen
|
||||
keyword whole royalblue brightgreen
|
||||
keyword whole saddlebrown brightgreen
|
||||
keyword whole salmon brightgreen
|
||||
keyword whole sandybrown brightgreen
|
||||
keyword whole seagreen brightgreen
|
||||
keyword whole seashell brightgreen
|
||||
keyword whole sienna brightgreen
|
||||
keyword whole silver brightgreen
|
||||
keyword whole skyblue brightgreen
|
||||
keyword whole slateblue brightgreen
|
||||
keyword whole slategray brightgreen
|
||||
keyword whole snow brightgreen
|
||||
keyword whole springgreen brightgreen
|
||||
keyword whole steelblue brightgreen
|
||||
keyword whole tan brightgreen
|
||||
keyword whole teal brightgreen
|
||||
keyword whole thistle brightgreen
|
||||
keyword whole tomato brightgreen
|
||||
keyword whole turquoise brightgreen
|
||||
keyword whole violet brightgreen
|
||||
keyword whole violetred brightgreen
|
||||
keyword whole wheat brightgreen
|
||||
keyword whole white brightgreen
|
||||
keyword whole whitesmoke brightgreen
|
||||
keyword whole yellow brightgreen
|
||||
keyword whole yellowgreen brightgreen
|
||||
|
||||
|
||||
|
||||
|
||||
|
||||
|
||||
keyword whole aliceblue brightgreen
|
||||
keyword whole antiquewhite brightgreen
|
||||
keyword whole aqua brightgreen
|
||||
keyword whole aquamarine brightgreen
|
||||
keyword whole azure brightgreen
|
||||
keyword whole beige brightgreen
|
||||
keyword whole bisque brightgreen
|
||||
keyword whole black brightgreen
|
||||
keyword whole blanchedalmond brightgreen
|
||||
keyword whole blue brightgreen
|
||||
keyword whole blueviolet brightgreen
|
||||
keyword whole brown brightgreen
|
||||
keyword whole burlywood brightgreen
|
||||
keyword whole cadetblue brightgreen
|
||||
keyword whole chartreuse brightgreen
|
||||
keyword whole chocolate brightgreen
|
||||
keyword whole coral brightgreen
|
||||
keyword whole cornflowerblue brightgreen
|
||||
keyword whole cornsilk brightgreen
|
||||
keyword whole crimson brightgreen
|
||||
keyword whole cyan brightgreen
|
||||
keyword whole darkblue brightgreen
|
||||
keyword whole darkcyan brightgreen
|
||||
keyword whole darkgoldenrod brightgreen
|
||||
keyword whole darkgray brightgreen
|
||||
keyword whole darkgreen brightgreen
|
||||
keyword whole darkkhaki brightgreen
|
||||
keyword whole darkmagenta brightgreen
|
||||
keyword whole darkolivegreen brightgreen
|
||||
keyword whole darkorange brightgreen
|
||||
keyword whole darkorchid brightgreen
|
||||
keyword whole darkred brightgreen
|
||||
keyword whole darksalmon brightgreen
|
||||
keyword whole darkseagreen brightgreen
|
||||
keyword whole darkslateblue brightgreen
|
||||
keyword whole darkslategray brightgreen
|
||||
keyword whole darkturquoise brightgreen
|
||||
keyword whole darkviolet brightgreen
|
||||
keyword whole deeppink brightgreen
|
||||
keyword whole deepskyblue brightgreen
|
||||
keyword whole dimgray brightgreen
|
||||
keyword whole dodgerblue brightgreen
|
||||
keyword whole feldspar brightgreen
|
||||
keyword whole firebrick brightgreen
|
||||
keyword whole floralwhite brightgreen
|
||||
keyword whole forestgreen brightgreen
|
||||
keyword whole fuchsia brightgreen
|
||||
keyword whole gainsboro brightgreen
|
||||
keyword whole ghostwhite brightgreen
|
||||
keyword whole gold brightgreen
|
||||
keyword whole goldenrod brightgreen
|
||||
keyword whole gray brightgreen
|
||||
keyword whole green brightgreen
|
||||
keyword whole greenyellow brightgreen
|
||||
keyword whole honeydew brightgreen
|
||||
keyword whole hotpink brightgreen
|
||||
keyword whole indianred brightgreen
|
||||
keyword whole indigo brightgreen
|
||||
keyword whole ivory brightgreen
|
||||
keyword whole khaki brightgreen
|
||||
keyword whole lavender brightgreen
|
||||
keyword whole lavenderblush brightgreen
|
||||
keyword whole lawngreen brightgreen
|
||||
keyword whole lemonchiffon brightgreen
|
||||
keyword whole lightblue brightgreen
|
||||
keyword whole lightcoral brightgreen
|
||||
keyword whole lightcyan brightgreen
|
||||
keyword whole lightgoldenrodyellow brightgreen
|
||||
keyword whole lightgrey brightgreen
|
||||
keyword whole lightgreen brightgreen
|
||||
keyword whole lightpink brightgreen
|
||||
keyword whole lightsalmon brightgreen
|
||||
keyword whole lightseagreen brightgreen
|
||||
keyword whole lightskyblue brightgreen
|
||||
keyword whole lightslateblue brightgreen
|
||||
keyword whole lightslategray brightgreen
|
||||
keyword whole lightsteelblue brightgreen
|
||||
keyword whole lightyellow brightgreen
|
||||
keyword whole lime brightgreen
|
||||
keyword whole limegreen brightgreen
|
||||
keyword whole linen brightgreen
|
||||
keyword whole magenta brightgreen
|
||||
keyword whole maroon brightgreen
|
||||
keyword whole mediumaquamarine brightgreen
|
||||
keyword whole mediumblue brightgreen
|
||||
keyword whole mediumorchid brightgreen
|
||||
keyword whole mediumpurple brightgreen
|
||||
keyword whole mediumseagreen brightgreen
|
||||
keyword whole mediumslateblue brightgreen
|
||||
keyword whole mediumspringgreen brightgreen
|
||||
keyword whole mediumturquoise brightgreen
|
||||
keyword whole mediumvioletred brightgreen
|
||||
keyword whole midnightblue brightgreen
|
||||
keyword whole mintcream brightgreen
|
||||
keyword whole mistyrose brightgreen
|
||||
keyword whole moccasin brightgreen
|
||||
keyword whole navajowhite brightgreen
|
||||
keyword whole navy brightgreen
|
||||
keyword whole oldlace brightgreen
|
||||
keyword whole olive brightgreen
|
||||
keyword whole olivedrab brightgreen
|
||||
keyword whole orange brightgreen
|
||||
keyword whole orangered brightgreen
|
||||
keyword whole orchid brightgreen
|
||||
keyword whole palegoldenrod brightgreen
|
||||
keyword whole palegreen brightgreen
|
||||
keyword whole paleturquoise brightgreen
|
||||
keyword whole palevioletred brightgreen
|
||||
keyword whole papayawhip brightgreen
|
||||
keyword whole peachpuff brightgreen
|
||||
keyword whole peru brightgreen
|
||||
keyword whole pink brightgreen
|
||||
keyword whole plum brightgreen
|
||||
keyword whole powderblue brightgreen
|
||||
keyword whole purple brightgreen
|
||||
keyword whole red brightgreen
|
||||
keyword whole rosybrown brightgreen
|
||||
keyword whole royalblue brightgreen
|
||||
keyword whole saddlebrown brightgreen
|
||||
keyword whole salmon brightgreen
|
||||
keyword whole sandybrown brightgreen
|
||||
keyword whole seagreen brightgreen
|
||||
keyword whole seashell brightgreen
|
||||
keyword whole sienna brightgreen
|
||||
keyword whole silver brightgreen
|
||||
keyword whole skyblue brightgreen
|
||||
keyword whole slateblue brightgreen
|
||||
keyword whole slategray brightgreen
|
||||
keyword whole snow brightgreen
|
||||
keyword whole springgreen brightgreen
|
||||
keyword whole steelblue brightgreen
|
||||
keyword whole tan brightgreen
|
||||
keyword whole teal brightgreen
|
||||
keyword whole thistle brightgreen
|
||||
keyword whole tomato brightgreen
|
||||
keyword whole turquoise brightgreen
|
||||
keyword whole violet brightgreen
|
||||
keyword whole violetred brightgreen
|
||||
keyword whole wheat brightgreen
|
||||
keyword whole white brightgreen
|
||||
keyword whole whitesmoke brightgreen
|
||||
keyword whole yellow brightgreen
|
||||
keyword whole yellowgreen brightgreen
|
||||
|
||||
|
||||
|
||||
|
||||
keyword counter(\{*\}*) magenta
|
||||
keyword counters(\{*\}*) magenta
|
||||
keyword url(\{*\}*) magenta
|
||||
keyword rgb(\{*\}*) magenta
|
||||
keyword counter(\{*\}*) magenta
|
||||
keyword counters(\{*\}*) magenta
|
||||
keyword url(\{*\}*) magenta
|
||||
keyword rgb(\{*\}*) magenta
|
||||
|
||||
keyword { yellow
|
||||
keyword } yellow
|
||||
@ -561,8 +555,8 @@ context default
|
||||
keyword \{0123456789\}*ex brightgreen
|
||||
keyword \{0123456789\}*pt brightgreen
|
||||
keyword \{0123456789\}*pc brightgreen
|
||||
keyword \{0123456789\}*% brightred
|
||||
keyword \{0123456789\} brightgreen
|
||||
keyword \{0123456789\}*% brightred
|
||||
keyword \{0123456789\} brightgreen
|
||||
|
||||
keyword { brightcyan
|
||||
keyword } brightcyan
|
||||
@ -574,5 +568,3 @@ context default
|
||||
|
||||
context exclusive /\* \*/ brown
|
||||
spellcheck
|
||||
|
||||
|
||||
|
@ -124,5 +124,3 @@ context " " green
|
||||
keyword \\\\ brightgreen
|
||||
keyword \\' brightgreen
|
||||
keyword \\\{abtnvfr\} brightgreen
|
||||
|
||||
|
||||
|
@ -559,4 +559,3 @@ context exclusive " " green
|
||||
keyword \\' brightgreen
|
||||
keyword \\\{abtnvfr\} brightgreen
|
||||
keyword \\&*; brightgreen
|
||||
|
||||
|
@ -1,20 +1,20 @@
|
||||
context default
|
||||
|
||||
keyword linestart \s\s\* brightcyan/17
|
||||
keyword linestart \s-- brightred/19
|
||||
keyword linestart \s\s\s\s\+ cyan/17
|
||||
keyword linestart \s\s\* brightcyan/17
|
||||
keyword linestart \s-- brightred/19
|
||||
keyword linestart \s\s\s\s\+ cyan/17
|
||||
|
||||
keyword <*@*> brightred/19
|
||||
keyword whole experimental; brightmagenta/magenta
|
||||
keyword whole unstable; brightmagenta/magenta
|
||||
keyword whole stable; brightmagenta/magenta
|
||||
keyword whole urgency=low yellow/24
|
||||
keyword whole urgency=medium brightgreen/6
|
||||
keyword whole urgency=high white/25
|
||||
keyword whole urgency=LOW yellow/24
|
||||
keyword whole urgency=MEDIUM brightgreen/6
|
||||
keyword whole urgency=HIGH white/25
|
||||
keyword <*@*> brightred/19
|
||||
keyword whole experimental; brightmagenta/magenta
|
||||
keyword whole unstable; brightmagenta/magenta
|
||||
keyword whole stable; brightmagenta/magenta
|
||||
keyword whole urgency=low yellow/24
|
||||
keyword whole urgency=medium brightgreen/6
|
||||
keyword whole urgency=high white/25
|
||||
keyword whole urgency=LOW yellow/24
|
||||
keyword whole urgency=MEDIUM brightgreen/6
|
||||
keyword whole urgency=HIGH white/25
|
||||
|
||||
keyword whole bug white/25
|
||||
keyword whole Bug white/25
|
||||
keyword whole BUG white/25
|
||||
keyword whole bug white/25
|
||||
keyword whole Bug white/25
|
||||
keyword whole BUG white/25
|
||||
|
@ -1,23 +1,23 @@
|
||||
context default
|
||||
keyword linestart Source brightmagenta/20
|
||||
keyword linestart Section brightmagenta/20
|
||||
keyword linestart Priority brightmagenta/20
|
||||
keyword linestart Maintainer brightmagenta/20
|
||||
keyword linestart Standards-Version brightmagenta/20
|
||||
keyword linestart Package green/6
|
||||
keyword linestart Architecture green/6
|
||||
keyword linestart Depends green/6
|
||||
keyword linestart Recommends green/6
|
||||
keyword linestart Suggests green/6
|
||||
keyword linestart Conflicts green/6
|
||||
keyword linestart Replaces green/6
|
||||
keyword linestart Provides green/6
|
||||
keyword linestart Pre-Depends green/6
|
||||
keyword linestart Build-Depends green/6
|
||||
keyword linestart Build-Depends-Indep green/6
|
||||
keyword linestart Build-Conflicts green/6
|
||||
keyword linestart Build-Conflicts-Indep green/6
|
||||
keyword linestart Description green/6
|
||||
keyword <*@*> brightred/19
|
||||
keyword linestart Source brightmagenta/20
|
||||
keyword linestart Section brightmagenta/20
|
||||
keyword linestart Priority brightmagenta/20
|
||||
keyword linestart Maintainer brightmagenta/20
|
||||
keyword linestart Standards-Version brightmagenta/20
|
||||
keyword linestart Package green/6
|
||||
keyword linestart Architecture green/6
|
||||
keyword linestart Depends green/6
|
||||
keyword linestart Recommends green/6
|
||||
keyword linestart Suggests green/6
|
||||
keyword linestart Conflicts green/6
|
||||
keyword linestart Replaces green/6
|
||||
keyword linestart Provides green/6
|
||||
keyword linestart Pre-Depends green/6
|
||||
keyword linestart Build-Depends green/6
|
||||
keyword linestart Build-Depends-Indep green/6
|
||||
keyword linestart Build-Conflicts green/6
|
||||
keyword linestart Build-Conflicts-Indep green/6
|
||||
keyword linestart Description green/6
|
||||
keyword <*@*> brightred/19
|
||||
|
||||
context linestart \s \n brown/22
|
||||
|
@ -1,15 +1,14 @@
|
||||
context default
|
||||
keyword linestart Format green
|
||||
keyword linestart Source brightmagenta
|
||||
keyword linestart Version yellow
|
||||
keyword linestart Binary brightgreen
|
||||
keyword linestart Maintainer brightmagenta
|
||||
keyword <*@*> brightred
|
||||
keyword linestart Architecture cyan
|
||||
keyword linestart Standards-Version brightmagenta
|
||||
keyword linestart Build-Depends green
|
||||
keyword linestart Files green
|
||||
keyword linestart Format green
|
||||
keyword linestart Source brightmagenta
|
||||
keyword linestart Version yellow
|
||||
keyword linestart Binary brightgreen
|
||||
keyword linestart Maintainer brightmagenta
|
||||
keyword <*@*> brightred
|
||||
keyword linestart Architecture cyan
|
||||
keyword linestart Standards-Version brightmagenta
|
||||
keyword linestart Build-Depends green
|
||||
keyword linestart Files green
|
||||
|
||||
context --- \n brightred
|
||||
spellcheck
|
||||
|
||||
|
@ -1,6 +1,6 @@
|
||||
context default
|
||||
keyword linestart deb-src brightmagenta/20
|
||||
keyword linestart deb brightmagenta/20
|
||||
keyword linestart deb-src brightmagenta/20
|
||||
keyword linestart deb brightmagenta/20
|
||||
keyword \$\(ARCH\) brightred/18
|
||||
|
||||
context # \n brown/22
|
||||
|
@ -177,8 +177,8 @@ context default
|
||||
|
||||
keyword whole 2>&1 brightred
|
||||
keyword whole 1>&2 brightred
|
||||
keyword whole 2> brightred
|
||||
keyword whole 1> brightred
|
||||
keyword whole 2> brightred
|
||||
keyword whole 1> brightred
|
||||
|
||||
keyword wholeright $\[0123456789\] brightred
|
||||
|
||||
@ -194,9 +194,9 @@ wholechars abcdefghijklmnopqrstuvwxyzABCDEFGHIJKLMNOPQRSTUVWXYZ0123456789-._
|
||||
|
||||
keyword whole break yellow
|
||||
keyword whole case yellow
|
||||
keyword whole clear yellow
|
||||
keyword whole clear yellow
|
||||
keyword whole continue yellow
|
||||
keyword whole declare yellow
|
||||
keyword whole declare yellow
|
||||
keyword whole done yellow
|
||||
keyword whole do yellow
|
||||
keyword whole elif yellow
|
||||
@ -206,14 +206,14 @@ wholechars abcdefghijklmnopqrstuvwxyzABCDEFGHIJKLMNOPQRSTUVWXYZ0123456789-._
|
||||
keyword whole export yellow
|
||||
keyword whole fi yellow
|
||||
keyword whole for yellow
|
||||
keyword whole getopts yellow
|
||||
keyword whole getopts yellow
|
||||
keyword whole if yellow
|
||||
keyword whole in yellow
|
||||
keyword whole read yellow
|
||||
keyword whole return yellow
|
||||
keyword whole select yellow
|
||||
keyword whole shift yellow
|
||||
keyword whole source yellow
|
||||
keyword whole source yellow
|
||||
keyword whole then yellow
|
||||
keyword whole trap yellow
|
||||
keyword whole until yellow
|
||||
@ -222,57 +222,57 @@ wholechars abcdefghijklmnopqrstuvwxyzABCDEFGHIJKLMNOPQRSTUVWXYZ0123456789-._
|
||||
keyword whole while yellow
|
||||
|
||||
# here goes usable subset for .ebuild commands
|
||||
keyword whole awk cyan
|
||||
keyword whole cd cyan
|
||||
keyword whole chown cyan
|
||||
keyword whole chmod cyan
|
||||
keyword whole cmake cyan
|
||||
keyword whole cp cyan
|
||||
keyword whole echo cyan
|
||||
keyword whole egrep cyan
|
||||
keyword whole fgrep cyan
|
||||
keyword whole find cyan
|
||||
keyword whole grep cyan
|
||||
keyword whole ln cyan
|
||||
keyword whole make cyan
|
||||
keyword whole mkdir cyan
|
||||
keyword whole mknod cyan
|
||||
keyword whole mv cyan
|
||||
keyword whole perl cyan
|
||||
keyword whole pod2man cyan
|
||||
keyword whole rm cyan
|
||||
keyword whole sed cyan
|
||||
keyword whole sh cyan
|
||||
keyword whole touch cyan
|
||||
keyword whole xmkmf cyan
|
||||
keyword whole awk cyan
|
||||
keyword whole cd cyan
|
||||
keyword whole chown cyan
|
||||
keyword whole chmod cyan
|
||||
keyword whole cmake cyan
|
||||
keyword whole cp cyan
|
||||
keyword whole echo cyan
|
||||
keyword whole egrep cyan
|
||||
keyword whole fgrep cyan
|
||||
keyword whole find cyan
|
||||
keyword whole grep cyan
|
||||
keyword whole ln cyan
|
||||
keyword whole make cyan
|
||||
keyword whole mkdir cyan
|
||||
keyword whole mknod cyan
|
||||
keyword whole mv cyan
|
||||
keyword whole perl cyan
|
||||
keyword whole pod2man cyan
|
||||
keyword whole rm cyan
|
||||
keyword whole sed cyan
|
||||
keyword whole sh cyan
|
||||
keyword whole touch cyan
|
||||
keyword whole xmkmf cyan
|
||||
|
||||
# some interesting files to copy
|
||||
keyword whole ANNOUNCEMENT white
|
||||
keyword whole AUTHORS white
|
||||
keyword whole BUGS white
|
||||
keyword whole CHANGES white
|
||||
keyword whole COPYING white
|
||||
keyword whole COPYRIGHT white
|
||||
keyword whole CREDITS white
|
||||
keyword whole ChangeLog white
|
||||
keyword whole FAQ white
|
||||
keyword whole HACKING white
|
||||
keyword whole INSTALL white
|
||||
keyword whole LICENSE white
|
||||
keyword whole NEWS white
|
||||
keyword whole README white
|
||||
keyword whole THANKS white
|
||||
keyword whole TODO white
|
||||
keyword whole VERSION white
|
||||
keyword whole ANNOUNCEMENT white
|
||||
keyword whole AUTHORS white
|
||||
keyword whole BUGS white
|
||||
keyword whole CHANGES white
|
||||
keyword whole COPYING white
|
||||
keyword whole COPYRIGHT white
|
||||
keyword whole CREDITS white
|
||||
keyword whole ChangeLog white
|
||||
keyword whole FAQ white
|
||||
keyword whole HACKING white
|
||||
keyword whole INSTALL white
|
||||
keyword whole LICENSE white
|
||||
keyword whole NEWS white
|
||||
keyword whole README white
|
||||
keyword whole THANKS white
|
||||
keyword whole TODO white
|
||||
keyword whole VERSION white
|
||||
|
||||
keyword whole /dev/audio brightblue
|
||||
keyword whole /dev/dsp brightblue
|
||||
keyword whole /dev/null brightblue
|
||||
keyword whole /dev/mixed brightblue
|
||||
keyword whole /dev/stdin brightblue
|
||||
keyword whole /dev/audio brightblue
|
||||
keyword whole /dev/dsp brightblue
|
||||
keyword whole /dev/null brightblue
|
||||
keyword whole /dev/mixed brightblue
|
||||
keyword whole /dev/stdin brightblue
|
||||
keyword whole /dev/stdout brightblue
|
||||
keyword whole /dev/stderr brightblue
|
||||
keyword whole /dev/zero brightblue
|
||||
keyword whole /dev/zero brightblue
|
||||
|
||||
wholechars abcdefghijklmnopqrstuvwxyzABCDEFGHIJKLMNOPQRSTUVWXYZ0123456789_
|
||||
|
||||
@ -327,8 +327,8 @@ context exclusive ` ` lightgray black
|
||||
keyword $_ brightred
|
||||
|
||||
keyword whole 2>&1 brightred
|
||||
keyword whole 2> brightred
|
||||
keyword whole 1> brightred
|
||||
keyword whole 2> brightred
|
||||
keyword whole 1> brightred
|
||||
|
||||
keyword wholeright $\[0123456789\] brightred
|
||||
|
||||
@ -340,9 +340,9 @@ wholechars abcdefghijklmnopqrstuvwxyzABCDEFGHIJKLMNOPQRSTUVWXYZ0123456789-._
|
||||
|
||||
keyword whole break yellow
|
||||
keyword whole case yellow
|
||||
keyword whole clear yellow
|
||||
keyword whole clear yellow
|
||||
keyword whole continue yellow
|
||||
keyword whole declare yellow
|
||||
keyword whole declare yellow
|
||||
keyword whole done yellow
|
||||
keyword whole do yellow
|
||||
keyword whole elif yellow
|
||||
@ -352,33 +352,33 @@ wholechars abcdefghijklmnopqrstuvwxyzABCDEFGHIJKLMNOPQRSTUVWXYZ0123456789-._
|
||||
keyword whole export yellow
|
||||
keyword whole fi yellow
|
||||
keyword whole for yellow
|
||||
keyword whole getopts yellow
|
||||
keyword whole getopts yellow
|
||||
keyword whole if yellow
|
||||
keyword whole in yellow
|
||||
keyword whole read yellow
|
||||
keyword whole return yellow
|
||||
keyword whole select yellow
|
||||
keyword whole shift yellow
|
||||
keyword whole source yellow
|
||||
keyword whole source yellow
|
||||
keyword whole then yellow
|
||||
keyword whole trap yellow
|
||||
keyword whole until yellow
|
||||
keyword whole unset yellow
|
||||
keyword whole wait yellow
|
||||
keyword whole wait yellow
|
||||
keyword whole while yellow
|
||||
|
||||
# here goes usable subset for .ebuild commands
|
||||
keyword whole awk cyan
|
||||
keyword whole sed cyan
|
||||
keyword whole awk cyan
|
||||
keyword whole sed cyan
|
||||
|
||||
keyword whole /dev/audio brightblue
|
||||
keyword whole /dev/dsp brightblue
|
||||
keyword whole /dev/null brightblue
|
||||
keyword whole /dev/mixed brightblue
|
||||
keyword whole /dev/stdin brightblue
|
||||
keyword whole /dev/audio brightblue
|
||||
keyword whole /dev/dsp brightblue
|
||||
keyword whole /dev/null brightblue
|
||||
keyword whole /dev/mixed brightblue
|
||||
keyword whole /dev/stdin brightblue
|
||||
keyword whole /dev/stdout brightblue
|
||||
keyword whole /dev/stderr brightblue
|
||||
keyword whole /dev/zero brightblue
|
||||
keyword whole /dev/zero brightblue
|
||||
|
||||
context inherit \n magenta
|
||||
keyword whole inherit yellow
|
||||
|
@ -4,87 +4,87 @@
|
||||
|
||||
context default yellow
|
||||
|
||||
keyword whole agent white
|
||||
keyword whole alias white
|
||||
keyword whole as white
|
||||
keyword whole check white
|
||||
keyword whole class white
|
||||
keyword whole create white
|
||||
keyword whole creation white
|
||||
keyword whole debug white
|
||||
keyword whole deferred white
|
||||
keyword whole do white
|
||||
keyword whole else white
|
||||
keyword whole elseif white
|
||||
keyword whole end white
|
||||
keyword whole ensure white
|
||||
keyword whole expanded white
|
||||
keyword whole export white
|
||||
keyword whole external white
|
||||
keyword whole feature white
|
||||
keyword whole from white
|
||||
keyword whole if white
|
||||
keyword whole is white
|
||||
keyword whole indexing white
|
||||
keyword whole inherit white
|
||||
keyword whole inspect white
|
||||
keyword whole invariant white
|
||||
keyword whole like white
|
||||
keyword whole local white
|
||||
keyword whole loop white
|
||||
keyword whole obsolete white
|
||||
keyword whole old white
|
||||
keyword whole once white
|
||||
keyword whole redefine white
|
||||
keyword whole reference white
|
||||
keyword whole rename white
|
||||
keyword whole require white
|
||||
keyword whole rescue white
|
||||
keyword whole retry white
|
||||
keyword whole select white
|
||||
keyword whole then white
|
||||
keyword whole undefine white
|
||||
keyword whole unique white
|
||||
keyword whole until white
|
||||
keyword whole variant white
|
||||
keyword whole when white
|
||||
keyword whole agent white
|
||||
keyword whole alias white
|
||||
keyword whole as white
|
||||
keyword whole check white
|
||||
keyword whole class white
|
||||
keyword whole create white
|
||||
keyword whole creation white
|
||||
keyword whole debug white
|
||||
keyword whole deferred white
|
||||
keyword whole do white
|
||||
keyword whole else white
|
||||
keyword whole elseif white
|
||||
keyword whole end white
|
||||
keyword whole ensure white
|
||||
keyword whole expanded white
|
||||
keyword whole export white
|
||||
keyword whole external white
|
||||
keyword whole feature white
|
||||
keyword whole from white
|
||||
keyword whole if white
|
||||
keyword whole is white
|
||||
keyword whole indexing white
|
||||
keyword whole inherit white
|
||||
keyword whole inspect white
|
||||
keyword whole invariant white
|
||||
keyword whole like white
|
||||
keyword whole local white
|
||||
keyword whole loop white
|
||||
keyword whole obsolete white
|
||||
keyword whole old white
|
||||
keyword whole once white
|
||||
keyword whole redefine white
|
||||
keyword whole reference white
|
||||
keyword whole rename white
|
||||
keyword whole require white
|
||||
keyword whole rescue white
|
||||
keyword whole retry white
|
||||
keyword whole select white
|
||||
keyword whole then white
|
||||
keyword whole undefine white
|
||||
keyword whole unique white
|
||||
keyword whole until white
|
||||
keyword whole variant white
|
||||
keyword whole when white
|
||||
|
||||
keyword whole Current brightmagenta
|
||||
keyword whole Precursor brightmagenta
|
||||
keyword whole Result brightmagenta
|
||||
keyword whole Void brightmagenta
|
||||
keyword whole Current brightmagenta
|
||||
keyword whole Precursor brightmagenta
|
||||
keyword whole Result brightmagenta
|
||||
keyword whole Void brightmagenta
|
||||
|
||||
# prevents - keyword from interfering with comment
|
||||
keyword -- lightgray
|
||||
keyword -- lightgray
|
||||
|
||||
keyword := brightcyan
|
||||
keyword ?= brightcyan
|
||||
keyword ! brightcyan
|
||||
keyword : brightcyan
|
||||
keyword ; brightcyan
|
||||
keyword ( brightcyan
|
||||
keyword ) brightcyan
|
||||
keyword [ brightcyan
|
||||
keyword ] brightcyan
|
||||
keyword := brightcyan
|
||||
keyword ?= brightcyan
|
||||
keyword ! brightcyan
|
||||
keyword : brightcyan
|
||||
keyword ; brightcyan
|
||||
keyword ( brightcyan
|
||||
keyword ) brightcyan
|
||||
keyword [ brightcyan
|
||||
keyword ] brightcyan
|
||||
|
||||
keyword {*} brightred
|
||||
keyword {*} brightred
|
||||
|
||||
keyword \+ cyan
|
||||
keyword - cyan
|
||||
keyword \* cyan
|
||||
keyword / cyan
|
||||
keyword > cyan
|
||||
keyword < cyan
|
||||
keyword = cyan
|
||||
keyword ^ cyan
|
||||
keyword \\ cyan
|
||||
keyword @ cyan
|
||||
keyword | cyan
|
||||
keyword whole and cyan
|
||||
keyword whole implies cyan
|
||||
keyword whole not cyan
|
||||
keyword whole xor cyan
|
||||
keyword whole or cyan
|
||||
keyword \+ cyan
|
||||
keyword - cyan
|
||||
keyword \* cyan
|
||||
keyword / cyan
|
||||
keyword > cyan
|
||||
keyword < cyan
|
||||
keyword = cyan
|
||||
keyword ^ cyan
|
||||
keyword \\ cyan
|
||||
keyword @ cyan
|
||||
keyword | cyan
|
||||
keyword whole and cyan
|
||||
keyword whole implies cyan
|
||||
keyword whole not cyan
|
||||
keyword whole xor cyan
|
||||
keyword whole or cyan
|
||||
|
||||
# Uncomment this to highlight tabs and trailing spaces
|
||||
# keyword \t yellow red
|
||||
@ -92,23 +92,23 @@ context default yellow
|
||||
|
||||
# Comments
|
||||
context exclusive -- \n lightgray
|
||||
keyword `*' brown
|
||||
keyword `*' brown
|
||||
|
||||
# Strings and characters
|
||||
context " " green
|
||||
keyword %N brightgreen
|
||||
keyword %R brightgreen
|
||||
keyword %U brightgreen
|
||||
keyword %" brightgreen
|
||||
keyword %' brightgreen
|
||||
keyword %% brightgreen
|
||||
keyword %/\[0123456789\]/ brightgreen
|
||||
keyword %N brightgreen
|
||||
keyword %R brightgreen
|
||||
keyword %U brightgreen
|
||||
keyword %" brightgreen
|
||||
keyword %' brightgreen
|
||||
keyword %% brightgreen
|
||||
keyword %/\[0123456789\]/ brightgreen
|
||||
|
||||
context ' ' green
|
||||
keyword %N brightgreen
|
||||
keyword %R brightgreen
|
||||
keyword %U brightgreen
|
||||
keyword %" brightgreen
|
||||
keyword %' brightgreen
|
||||
keyword %% brightgreen
|
||||
keyword %/\[0123456789\]/ brightgreen
|
||||
keyword %N brightgreen
|
||||
keyword %R brightgreen
|
||||
keyword %U brightgreen
|
||||
keyword %" brightgreen
|
||||
keyword %' brightgreen
|
||||
keyword %% brightgreen
|
||||
keyword %/\[0123456789\]/ brightgreen
|
||||
|
@ -41,25 +41,25 @@ context regexp= \n lightgray
|
||||
keyword whole regexp yellow
|
||||
|
||||
# regexp patterns
|
||||
keyword \\\/ brightcyan
|
||||
keyword \\\\ brightcyan
|
||||
keyword \\B brightcyan
|
||||
keyword \\b brightcyan
|
||||
keyword \\D brightcyan
|
||||
keyword \\d brightcyan
|
||||
keyword \\S brightcyan
|
||||
keyword \\s brightcyan
|
||||
keyword \\W brightcyan
|
||||
keyword \\w brightcyan
|
||||
keyword \\A brightcyan
|
||||
keyword \\Z brightcyan
|
||||
keyword \\\/ brightcyan
|
||||
keyword \\\\ brightcyan
|
||||
keyword \\B brightcyan
|
||||
keyword \\b brightcyan
|
||||
keyword \\D brightcyan
|
||||
keyword \\d brightcyan
|
||||
keyword \\S brightcyan
|
||||
keyword \\s brightcyan
|
||||
keyword \\W brightcyan
|
||||
keyword \\w brightcyan
|
||||
keyword \\A brightcyan
|
||||
keyword \\Z brightcyan
|
||||
|
||||
keyword \\( brightcyan
|
||||
keyword \\) brightcyan
|
||||
keyword \\[ brightcyan
|
||||
keyword \\] brightcyan
|
||||
keyword \\{ brightcyan
|
||||
keyword \\} brightcyan
|
||||
keyword \\( brightcyan
|
||||
keyword \\) brightcyan
|
||||
keyword \\[ brightcyan
|
||||
keyword \\] brightcyan
|
||||
keyword \\{ brightcyan
|
||||
keyword \\} brightcyan
|
||||
keyword \\$ brightcyan
|
||||
keyword \\\+ brightcyan
|
||||
keyword \\. brightcyan
|
||||
@ -88,4 +88,3 @@ context regexp= \n lightgray
|
||||
keyword \* yellow
|
||||
keyword ^ yellow
|
||||
keyword | yellow
|
||||
|
||||
|
@ -243,4 +243,3 @@ context exclusive linestart c \n brown
|
||||
spellcheck
|
||||
context exclusive linestart C \n brown
|
||||
spellcheck
|
||||
|
||||
|
@ -113,14 +113,14 @@ context default
|
||||
keyword whole linestart \[\s\t\]\{ABCDEFGHIJKLMNOPQRSTUVWXYZ_abcdefghijklmnopqrstuvwxyz\}\[0123456789ABCDEFGHIJKLMNOPQRSTUVWXYZ_abcdefghijklmnopqrstuvwxyz\]: cyan
|
||||
|
||||
#digits
|
||||
keyword whole 0\{xX\}\{0123456789abcdefABCDEF\}\[0123456789abcdefABCDEF\] brightgreen
|
||||
keyword whole \{0123456789\}\[0123456789\] brightgreen
|
||||
keyword whole \[0123456789\]\.\{0123456789\}\[0123456789\] brightgreen
|
||||
keyword whole \{0123456789\}\[0123456789\]\.\[0123456789\] brightgreen
|
||||
keyword whole \{0123456789\}\[0123456789\]\{eE\}\{0123456789\}\[0123456789\] brightgreen
|
||||
keyword whole \{0123456789\}\[0123456789\]\{eE\}\{\-\+\}\{0123456789\}\[0123456789\] brightgreen
|
||||
keyword whole \{0123456789\}\[0123456789\]\.\{0123456789\}\[0123456789\]\{eE\}\{0123456789\}\[0123456789\] brightgreen
|
||||
keyword whole \{0123456789\}\[0123456789\]\.\{0123456789\}\[0123456789\]\{eE\}\{\-\+\}\{0123456789\}\[0123456789\] brightgreen
|
||||
keyword whole 0\{xX\}\{0123456789abcdefABCDEF\}\[0123456789abcdefABCDEF\] brightgreen
|
||||
keyword whole \{0123456789\}\[0123456789\] brightgreen
|
||||
keyword whole \[0123456789\]\.\{0123456789\}\[0123456789\] brightgreen
|
||||
keyword whole \{0123456789\}\[0123456789\]\.\[0123456789\] brightgreen
|
||||
keyword whole \{0123456789\}\[0123456789\]\{eE\}\{0123456789\}\[0123456789\] brightgreen
|
||||
keyword whole \{0123456789\}\[0123456789\]\{eE\}\{\-\+\}\{0123456789\}\[0123456789\] brightgreen
|
||||
keyword whole \{0123456789\}\[0123456789\]\.\{0123456789\}\[0123456789\]\{eE\}\{0123456789\}\[0123456789\] brightgreen
|
||||
keyword whole \{0123456789\}\[0123456789\]\.\{0123456789\}\[0123456789\]\{eE\}\{\-\+\}\{0123456789\}\[0123456789\] brightgreen
|
||||
|
||||
#comments
|
||||
keyword {- brown
|
||||
@ -142,14 +142,14 @@ context default
|
||||
keyword '\\ENQ' brightgreen
|
||||
keyword '\\ACK' brightgreen
|
||||
keyword '\\BEL' brightgreen
|
||||
keyword '\\BS' brightgreen
|
||||
keyword '\\HT' brightgreen
|
||||
keyword '\\LF' brightgreen
|
||||
keyword '\\VT' brightgreen
|
||||
keyword '\\FF' brightgreen
|
||||
keyword '\\CR' brightgreen
|
||||
keyword '\\SO' brightgreen
|
||||
keyword '\\SI' brightgreen
|
||||
keyword '\\BS' brightgreen
|
||||
keyword '\\HT' brightgreen
|
||||
keyword '\\LF' brightgreen
|
||||
keyword '\\VT' brightgreen
|
||||
keyword '\\FF' brightgreen
|
||||
keyword '\\CR' brightgreen
|
||||
keyword '\\SO' brightgreen
|
||||
keyword '\\SI' brightgreen
|
||||
keyword '\\DLE' brightgreen
|
||||
keyword '\\DC1' brightgreen
|
||||
keyword '\\DC2' brightgreen
|
||||
@ -159,14 +159,14 @@ context default
|
||||
keyword '\\SYN' brightgreen
|
||||
keyword '\\ETB' brightgreen
|
||||
keyword '\\CAN' brightgreen
|
||||
keyword '\\EM' brightgreen
|
||||
keyword '\\EM' brightgreen
|
||||
keyword '\\SUB' brightgreen
|
||||
keyword '\\ESC' brightgreen
|
||||
keyword '\\FS' brightgreen
|
||||
keyword '\\GS' brightgreen
|
||||
keyword '\\RS' brightgreen
|
||||
keyword '\\US' brightgreen
|
||||
keyword '\\SP' brightgreen
|
||||
keyword '\\FS' brightgreen
|
||||
keyword '\\GS' brightgreen
|
||||
keyword '\\RS' brightgreen
|
||||
keyword '\\US' brightgreen
|
||||
keyword '\\SP' brightgreen
|
||||
keyword '\\DEL' brightgreen
|
||||
|
||||
keyword '\\\{0123\}\{01234567\}\{01234567\}' brightgreen
|
||||
|
File diff suppressed because it is too large
Load Diff
@ -21,118 +21,118 @@ context default
|
||||
|
||||
#=========================
|
||||
# Keywords
|
||||
keyword whole arguments yellow
|
||||
keyword whole break yellow
|
||||
keyword whole caller yellow
|
||||
keyword whole case yellow
|
||||
keyword whole catch yellow
|
||||
keyword whole constructor yellow
|
||||
keyword whole continue yellow
|
||||
keyword whole else yellow
|
||||
keyword whole default yellow
|
||||
keyword whole delete yellow
|
||||
keyword whole for yellow
|
||||
keyword whole function yellow
|
||||
keyword whole if yellow
|
||||
keyword whole in yellow
|
||||
keyword whole instanceof yellow
|
||||
keyword whole new yellow
|
||||
keyword whole prototype yellow
|
||||
keyword whole return yellow
|
||||
keyword whole switch yellow
|
||||
keyword whole this yellow
|
||||
keyword whole throw yellow
|
||||
keyword whole try yellow
|
||||
keyword whole typeof yellow
|
||||
keyword whole var yellow
|
||||
keyword whole while yellow
|
||||
keyword whole with yellow
|
||||
keyword whole arguments yellow
|
||||
keyword whole break yellow
|
||||
keyword whole caller yellow
|
||||
keyword whole case yellow
|
||||
keyword whole catch yellow
|
||||
keyword whole constructor yellow
|
||||
keyword whole continue yellow
|
||||
keyword whole else yellow
|
||||
keyword whole default yellow
|
||||
keyword whole delete yellow
|
||||
keyword whole for yellow
|
||||
keyword whole function yellow
|
||||
keyword whole if yellow
|
||||
keyword whole in yellow
|
||||
keyword whole instanceof yellow
|
||||
keyword whole new yellow
|
||||
keyword whole prototype yellow
|
||||
keyword whole return yellow
|
||||
keyword whole switch yellow
|
||||
keyword whole this yellow
|
||||
keyword whole throw yellow
|
||||
keyword whole try yellow
|
||||
keyword whole typeof yellow
|
||||
keyword whole var yellow
|
||||
keyword whole while yellow
|
||||
keyword whole with yellow
|
||||
|
||||
#=========================
|
||||
# Objects
|
||||
keyword whole ActiveXObject yellow
|
||||
keyword whole Array yellow
|
||||
keyword whole Boolean yellow
|
||||
keyword whole Date yellow
|
||||
keyword whole Dictionary yellow
|
||||
keyword whole Enumerator yellow
|
||||
keyword whole FileSystemObject yellow
|
||||
keyword whole Function yellow
|
||||
keyword whole Global yellow
|
||||
keyword whole Image yellow
|
||||
keyword whole Math yellow
|
||||
keyword whole Number yellow
|
||||
keyword whole Object yellow
|
||||
keyword whole TextStream yellow
|
||||
keyword whole RegExp yellow
|
||||
keyword whole VBArray yellow
|
||||
keyword whole ActiveXObject yellow
|
||||
keyword whole Array yellow
|
||||
keyword whole Boolean yellow
|
||||
keyword whole Date yellow
|
||||
keyword whole Dictionary yellow
|
||||
keyword whole Enumerator yellow
|
||||
keyword whole FileSystemObject yellow
|
||||
keyword whole Function yellow
|
||||
keyword whole Global yellow
|
||||
keyword whole Image yellow
|
||||
keyword whole Math yellow
|
||||
keyword whole Number yellow
|
||||
keyword whole Object yellow
|
||||
keyword whole TextStream yellow
|
||||
keyword whole RegExp yellow
|
||||
keyword whole VBArray yellow
|
||||
|
||||
#=========================
|
||||
# Most common functions
|
||||
keyword whole alert yellow
|
||||
keyword whole escape yellow
|
||||
keyword whole eval yellow
|
||||
keyword whole indexOf yellow
|
||||
keyword whole isNaN yellow
|
||||
keyword whole toString yellow
|
||||
keyword whole unescape yellow
|
||||
keyword whole valueOf yellow
|
||||
keyword whole alert yellow
|
||||
keyword whole escape yellow
|
||||
keyword whole eval yellow
|
||||
keyword whole indexOf yellow
|
||||
keyword whole isNaN yellow
|
||||
keyword whole toString yellow
|
||||
keyword whole unescape yellow
|
||||
keyword whole valueOf yellow
|
||||
|
||||
#=========================
|
||||
# Constants
|
||||
keyword whole false brightgreen
|
||||
keyword whole null brightgreen
|
||||
keyword whole true brightgreen
|
||||
keyword whole undefined yellow
|
||||
keyword whole false brightgreen
|
||||
keyword whole null brightgreen
|
||||
keyword whole true brightgreen
|
||||
keyword whole undefined yellow
|
||||
|
||||
#=========================
|
||||
# Comments
|
||||
keyword /\* brown
|
||||
keyword \*/ brown
|
||||
keyword // brown
|
||||
keyword /\* brown
|
||||
keyword \*/ brown
|
||||
keyword // brown
|
||||
|
||||
#=========================
|
||||
# Numbers
|
||||
wholechars abcdefghijklmnopqrstuvwxyzABCDEFGHIJKLMNOPQRSTUVWXYZ0123456789_.
|
||||
|
||||
keyword whole 0\{xX\}\{0123456789abcdefABCDEF\}\[0123456789abcdefABCDEF\] brightgreen
|
||||
keyword whole \{0123456789\}\[0123456789\] brightgreen
|
||||
keyword whole \[0123456789\]\.\{0123456789\}\[0123456789\] brightgreen
|
||||
keyword whole \{0123456789\}\[0123456789\]\.\[0123456789\] brightgreen
|
||||
keyword whole \{0123456789\}\[0123456789\]\{eE\}\{0123456789\}\[0123456789\] brightgreen
|
||||
keyword whole \{0123456789\}\[0123456789\]\{eE\}\{\-\+\}\{0123456789\}\[0123456789\] brightgreen
|
||||
keyword whole \{0123456789\}\[0123456789\]\.\{0123456789\}\[0123456789\]\{eE\}\{0123456789\}\[0123456789\] brightgreen
|
||||
keyword whole \{0123456789\}\[0123456789\]\.\{0123456789\}\[0123456789\]\{eE\}\{\-\+\}\{0123456789\}\[0123456789\] brightgreen
|
||||
keyword whole 0\{xX\}\{0123456789abcdefABCDEF\}\[0123456789abcdefABCDEF\] brightgreen
|
||||
keyword whole \{0123456789\}\[0123456789\] brightgreen
|
||||
keyword whole \[0123456789\]\.\{0123456789\}\[0123456789\] brightgreen
|
||||
keyword whole \{0123456789\}\[0123456789\]\.\[0123456789\] brightgreen
|
||||
keyword whole \{0123456789\}\[0123456789\]\{eE\}\{0123456789\}\[0123456789\] brightgreen
|
||||
keyword whole \{0123456789\}\[0123456789\]\{eE\}\{\-\+\}\{0123456789\}\[0123456789\] brightgreen
|
||||
keyword whole \{0123456789\}\[0123456789\]\.\{0123456789\}\[0123456789\]\{eE\}\{0123456789\}\[0123456789\] brightgreen
|
||||
keyword whole \{0123456789\}\[0123456789\]\.\{0123456789\}\[0123456789\]\{eE\}\{\-\+\}\{0123456789\}\[0123456789\] brightgreen
|
||||
|
||||
#=========================
|
||||
# Special symbols
|
||||
keyword \. yellow
|
||||
keyword \* yellow
|
||||
keyword \+ yellow
|
||||
keyword - yellow
|
||||
keyword / yellow
|
||||
keyword % yellow
|
||||
keyword = yellow
|
||||
keyword ! yellow
|
||||
keyword & yellow
|
||||
keyword | yellow
|
||||
keyword ^ yellow
|
||||
keyword ~ yellow
|
||||
keyword > yellow
|
||||
keyword < yellow
|
||||
keyword \. yellow
|
||||
keyword \* yellow
|
||||
keyword \+ yellow
|
||||
keyword - yellow
|
||||
keyword / yellow
|
||||
keyword % yellow
|
||||
keyword = yellow
|
||||
keyword ! yellow
|
||||
keyword & yellow
|
||||
keyword | yellow
|
||||
keyword ^ yellow
|
||||
keyword ~ yellow
|
||||
keyword > yellow
|
||||
keyword < yellow
|
||||
|
||||
#=========================
|
||||
# Separators
|
||||
keyword { brightcyan
|
||||
keyword } brightcyan
|
||||
keyword ( brightcyan
|
||||
keyword ) brightcyan
|
||||
keyword [ brightcyan
|
||||
keyword ] brightcyan
|
||||
keyword , brightcyan
|
||||
keyword ? brightcyan
|
||||
keyword : brightcyan
|
||||
keyword ; brightmagenta
|
||||
keyword { brightcyan
|
||||
keyword } brightcyan
|
||||
keyword ( brightcyan
|
||||
keyword ) brightcyan
|
||||
keyword [ brightcyan
|
||||
keyword ] brightcyan
|
||||
keyword , brightcyan
|
||||
keyword ? brightcyan
|
||||
keyword : brightcyan
|
||||
keyword ; brightmagenta
|
||||
|
||||
|
||||
#=============================
|
||||
@ -140,28 +140,28 @@ context default
|
||||
|
||||
context exclusive /\* \*/ brown
|
||||
spellcheck
|
||||
keyword whole BUG brightred
|
||||
keyword whole FixMe brightred
|
||||
keyword whole FIXME brightred
|
||||
keyword whole Note brightred
|
||||
keyword whole NOTE brightred
|
||||
keyword whole ToDo brightred
|
||||
keyword whole TODO brightred
|
||||
keyword !!\[!\] brightred
|
||||
keyword ??\[?\] brightred
|
||||
keyword whole BUG brightred
|
||||
keyword whole FixMe brightred
|
||||
keyword whole FIXME brightred
|
||||
keyword whole Note brightred
|
||||
keyword whole NOTE brightred
|
||||
keyword whole ToDo brightred
|
||||
keyword whole TODO brightred
|
||||
keyword !!\[!\] brightred
|
||||
keyword ??\[?\] brightred
|
||||
|
||||
|
||||
context exclusive // \n brown
|
||||
spellcheck
|
||||
keyword whole BUG brightred
|
||||
keyword whole FixMe brightred
|
||||
keyword whole FIXME brightred
|
||||
keyword whole Note brightred
|
||||
keyword whole NOTE brightred
|
||||
keyword whole ToDo brightred
|
||||
keyword whole TODO brightred
|
||||
keyword !!\[!\] brightred
|
||||
keyword ??\[?\] brightred
|
||||
keyword whole BUG brightred
|
||||
keyword whole FixMe brightred
|
||||
keyword whole FIXME brightred
|
||||
keyword whole Note brightred
|
||||
keyword whole NOTE brightred
|
||||
keyword whole ToDo brightred
|
||||
keyword whole TODO brightred
|
||||
keyword !!\[!\] brightred
|
||||
keyword ??\[?\] brightred
|
||||
|
||||
|
||||
#=============================
|
||||
@ -169,14 +169,14 @@ context exclusive // \n brown
|
||||
|
||||
context " " green
|
||||
spellcheck
|
||||
keyword \\\{\\'"abtnvfr\} brightgreen
|
||||
keyword \\\{\\'"abtnvfr\} brightgreen
|
||||
keyword \\\{0123\}\{01234567\}\{01234567\} brightgreen
|
||||
|
||||
keyword ' brightgreen
|
||||
keyword ' brightgreen
|
||||
|
||||
context ' ' green
|
||||
spellcheck
|
||||
keyword \\\{\\'"abtnvfr\} brightgreen
|
||||
keyword \\\{\\'"abtnvfr\} brightgreen
|
||||
keyword \\\{0123\}\{01234567\}\{01234567\} brightgreen
|
||||
|
||||
keyword " brightgreen
|
||||
keyword " brightgreen
|
||||
|
@ -272,4 +272,3 @@ context $ $ brightgreen
|
||||
context exclusive \\begin{equation} \\end{equation} brightgreen
|
||||
context exclusive \\begin{displaymath} \\end{displaymath} brightgreen
|
||||
context exclusive \\begin{eqnarray} \\end{eqnarray} brightgreen
|
||||
|
||||
|
@ -1,33 +1,32 @@
|
||||
|
||||
context default
|
||||
spellcheck
|
||||
keyword linestart Begin3 brightmagenta
|
||||
keyword linestart Title:\s\s\s\s\s\s\s\s\s\s red yellow
|
||||
keyword linestart Version:\s\s\s\s\s\s\s\s red yellow
|
||||
keyword linestart Entered-date:\s\s\s red yellow
|
||||
keyword linestart Description:\s\s\s\s red yellow
|
||||
keyword linestart Keywords:\s\s\s\s\s\s\s red yellow
|
||||
keyword linestart Alternate-site:\s red yellow
|
||||
keyword linestart Primary-site:\s\s\s red yellow
|
||||
keyword linestart Original-site:\s\s red yellow
|
||||
keyword linestart Platforms:\s\s\s\s\s\s red yellow
|
||||
keyword linestart Copying-policy:\s red yellow
|
||||
keyword linestart End brightmagenta
|
||||
keyword linestart Begin3 brightmagenta
|
||||
keyword linestart Title:\s\s\s\s\s\s\s\s\s\s red yellow
|
||||
keyword linestart Version:\s\s\s\s\s\s\s\s red yellow
|
||||
keyword linestart Entered-date:\s\s\s red yellow
|
||||
keyword linestart Description:\s\s\s\s red yellow
|
||||
keyword linestart Keywords:\s\s\s\s\s\s\s red yellow
|
||||
keyword linestart Alternate-site:\s red yellow
|
||||
keyword linestart Primary-site:\s\s\s red yellow
|
||||
keyword linestart Original-site:\s\s red yellow
|
||||
keyword linestart Platforms:\s\s\s\s\s\s red yellow
|
||||
keyword linestart Copying-policy:\s red yellow
|
||||
keyword linestart End brightmagenta
|
||||
|
||||
keyword linestart \t\t white yellow
|
||||
keyword linestart \s\s\s\s\s\s\s\s\s\s\s\s\s\s\s\s white yellow
|
||||
keyword whole GPL green
|
||||
keyword whole BSD green
|
||||
keyword whole Shareware green
|
||||
keyword whole sunsite.unc.edu green
|
||||
keyword wholeright \s*.tar.gz green
|
||||
keyword wholeright \s*.lsm green
|
||||
keyword linestart \s\s\s\s\s\s\s\s\s\s\s\s\s\s\s\s white yellow
|
||||
keyword whole GPL green
|
||||
keyword whole BSD green
|
||||
keyword whole Shareware green
|
||||
keyword whole sunsite.unc.edu green
|
||||
keyword wholeright \s*.tar.gz green
|
||||
keyword wholeright \s*.lsm green
|
||||
|
||||
context linestart Author:\s\s\s\s\s\s\s\s\s \n brightred
|
||||
keyword whole \s*@*\s(*) cyan
|
||||
keyword whole \s*@*\s(*) cyan
|
||||
|
||||
context linestart Maintained-by:\s\s \n brightred
|
||||
keyword whole \s*@*\s(*) cyan
|
||||
keyword whole \s*@*\s(*) cyan
|
||||
|
||||
###############################################################################
|
||||
|
||||
|
@ -12,48 +12,48 @@ context default
|
||||
|
||||
#=========================
|
||||
# Built-in macros with mandatory parameters
|
||||
keyword whole builtin yellow
|
||||
keyword whole decr yellow
|
||||
keyword whole define yellow
|
||||
keyword whole defn yellow
|
||||
keyword whole esyscmd yellow
|
||||
keyword whole eval yellow
|
||||
keyword whole format yellow
|
||||
keyword whole ifdef yellow
|
||||
keyword whole ifelse yellow
|
||||
keyword whole include yellow
|
||||
keyword whole incr yellow
|
||||
keyword whole index yellow
|
||||
keyword whole len yellow
|
||||
keyword whole maketemp yellow
|
||||
keyword whole patsubst yellow
|
||||
keyword whole popdef yellow
|
||||
keyword whole pushdef yellow
|
||||
keyword whole regexp yellow
|
||||
keyword whole sinclude yellow
|
||||
keyword whole substr yellow
|
||||
keyword whole syscmd yellow
|
||||
keyword whole translit yellow
|
||||
keyword whole undefine yellow
|
||||
keyword whole builtin yellow
|
||||
keyword whole decr yellow
|
||||
keyword whole define yellow
|
||||
keyword whole defn yellow
|
||||
keyword whole esyscmd yellow
|
||||
keyword whole eval yellow
|
||||
keyword whole format yellow
|
||||
keyword whole ifdef yellow
|
||||
keyword whole ifelse yellow
|
||||
keyword whole include yellow
|
||||
keyword whole incr yellow
|
||||
keyword whole index yellow
|
||||
keyword whole len yellow
|
||||
keyword whole maketemp yellow
|
||||
keyword whole patsubst yellow
|
||||
keyword whole popdef yellow
|
||||
keyword whole pushdef yellow
|
||||
keyword whole regexp yellow
|
||||
keyword whole sinclude yellow
|
||||
keyword whole substr yellow
|
||||
keyword whole syscmd yellow
|
||||
keyword whole translit yellow
|
||||
keyword whole undefine yellow
|
||||
|
||||
#=========================
|
||||
# Built-in macros with optional parameters
|
||||
keyword whole changecom yellow
|
||||
keyword whole changequote yellow
|
||||
keyword whole debugfile yellow
|
||||
keyword whole debugmode yellow
|
||||
keyword whole divert yellow
|
||||
keyword whole divnum yellow
|
||||
keyword whole dumpdef yellow
|
||||
keyword whole errprint yellow
|
||||
keyword whole indir yellow
|
||||
keyword whole m4exit yellow
|
||||
keyword whole m4wrap yellow
|
||||
keyword whole shift yellow
|
||||
keyword whole sysval yellow
|
||||
keyword whole traceoff yellow
|
||||
keyword whole traceon yellow
|
||||
keyword whole undivert yellow
|
||||
keyword whole changecom yellow
|
||||
keyword whole changequote yellow
|
||||
keyword whole debugfile yellow
|
||||
keyword whole debugmode yellow
|
||||
keyword whole divert yellow
|
||||
keyword whole divnum yellow
|
||||
keyword whole dumpdef yellow
|
||||
keyword whole errprint yellow
|
||||
keyword whole indir yellow
|
||||
keyword whole m4exit yellow
|
||||
keyword whole m4wrap yellow
|
||||
keyword whole shift yellow
|
||||
keyword whole sysval yellow
|
||||
keyword whole traceoff yellow
|
||||
keyword whole traceon yellow
|
||||
keyword whole undivert yellow
|
||||
|
||||
#=========================
|
||||
# Autoconf and Automake macros
|
||||
@ -63,22 +63,22 @@ context default
|
||||
|
||||
#=========================
|
||||
# Variables
|
||||
keyword whole __file__ brightred
|
||||
keyword whole __gnu__ brightred
|
||||
keyword whole __line__ brightred
|
||||
keyword whole __unix__ brightred
|
||||
keyword whole __file__ brightred
|
||||
keyword whole __gnu__ brightred
|
||||
keyword whole __line__ brightred
|
||||
keyword whole __unix__ brightred
|
||||
keyword wholeright $\{0123456789\}\[0123456789\] brightred
|
||||
keyword wholeright $\{@\*#\} brightred
|
||||
keyword wholeright $\{@\*#\} brightred
|
||||
|
||||
#=========================
|
||||
# Special symbols
|
||||
keyword ` brightcyan
|
||||
keyword ' brightcyan
|
||||
keyword [ brightcyan
|
||||
keyword ] brightcyan
|
||||
keyword , brightcyan
|
||||
keyword ( brightcyan
|
||||
keyword ) brightcyan
|
||||
keyword ` brightcyan
|
||||
keyword ' brightcyan
|
||||
keyword [ brightcyan
|
||||
keyword ] brightcyan
|
||||
keyword , brightcyan
|
||||
keyword ( brightcyan
|
||||
keyword ) brightcyan
|
||||
|
||||
|
||||
#=============================
|
||||
@ -86,26 +86,25 @@ context default
|
||||
|
||||
context # \n brown
|
||||
spellcheck
|
||||
keyword whole BUG brightred
|
||||
keyword whole FixMe brightred
|
||||
keyword whole FIXME brightred
|
||||
keyword whole Note brightred
|
||||
keyword whole NOTE brightred
|
||||
keyword whole ToDo brightred
|
||||
keyword whole TODO brightred
|
||||
keyword !!\[!\] brightred
|
||||
keyword ??\[?\] brightred
|
||||
keyword whole BUG brightred
|
||||
keyword whole FixMe brightred
|
||||
keyword whole FIXME brightred
|
||||
keyword whole Note brightred
|
||||
keyword whole NOTE brightred
|
||||
keyword whole ToDo brightred
|
||||
keyword whole TODO brightred
|
||||
keyword !!\[!\] brightred
|
||||
keyword ??\[?\] brightred
|
||||
|
||||
|
||||
context dnl \n brown
|
||||
spellcheck
|
||||
keyword whole BUG brightred
|
||||
keyword whole FixMe brightred
|
||||
keyword whole FIXME brightred
|
||||
keyword whole Note brightred
|
||||
keyword whole NOTE brightred
|
||||
keyword whole ToDo brightred
|
||||
keyword whole TODO brightred
|
||||
keyword !!\[!\] brightred
|
||||
keyword ??\[?\] brightred
|
||||
|
||||
keyword whole BUG brightred
|
||||
keyword whole FixMe brightred
|
||||
keyword whole FIXME brightred
|
||||
keyword whole Note brightred
|
||||
keyword whole NOTE brightred
|
||||
keyword whole ToDo brightred
|
||||
keyword whole TODO brightred
|
||||
keyword !!\[!\] brightred
|
||||
keyword ??\[?\] brightred
|
||||
|
@ -71,4 +71,3 @@ context linestart >\[\s\]>\[\s\]>\[\s\]> \n brightred
|
||||
context linestart >\[\s\]>\[\s\]> \n brightgreen
|
||||
context linestart >\[\s\]> \n brightred
|
||||
context linestart > \n brightgreen
|
||||
|
||||
|
@ -93,4 +93,3 @@ context " " brightcyan
|
||||
keyword \\\\ brightmagenta
|
||||
keyword \\' brightmagenta
|
||||
keyword \\\{abtnvfr\} brightmagenta
|
||||
|
||||
|
@ -13,8 +13,8 @@ context default
|
||||
keyword whole allow-v6-synthesis yellow
|
||||
keyword whole allow yellow
|
||||
keyword whole also-notify yellow
|
||||
keyword whole alt-transfer-source yellow
|
||||
keyword whole alt-transfer-source-v6 yellow
|
||||
keyword whole alt-transfer-source yellow
|
||||
keyword whole alt-transfer-source-v6 yellow
|
||||
keyword whole avoid-v4-udp-ports yellow
|
||||
keyword whole avoid-v6-udp-ports yellow
|
||||
keyword whole auth-nxdomain yellow
|
||||
|
@ -167,5 +167,3 @@ context " " green
|
||||
keyword \\\\ brightgreen
|
||||
keyword \\' brightgreen
|
||||
keyword \\\{abtnvfr\} brightgreen
|
||||
|
||||
|
||||
|
@ -227,5 +227,3 @@ context exclusive \\fI \\f\{PR\} brightred
|
||||
spellcheck
|
||||
context linestart .\\" \n brown
|
||||
spellcheck
|
||||
|
||||
|
||||
|
@ -138,6 +138,3 @@ context { } brightgreen
|
||||
# keyword $* green
|
||||
keyword $+:*$ black green
|
||||
spellcheck
|
||||
|
||||
|
||||
|
||||
|
@ -3152,4 +3152,3 @@ context " " green
|
||||
context ' ' brightgreen
|
||||
spellcheck
|
||||
keyword \\' brightcyan
|
||||
|
||||
|
@ -5,267 +5,267 @@
|
||||
|
||||
context default
|
||||
keyword whole linestart #*procmail\n brightcyan black
|
||||
keyword whole #*\n brown
|
||||
keyword whole #*\n brown
|
||||
|
||||
wholechars abcdefghijklmnopqrstuvwxyzABCDEFGHIJKLMNOPQRSTUVWXYZ0123456789-._
|
||||
|
||||
##############################################################
|
||||
# Vars from procmail
|
||||
keyword whole MAILDIR brightgreen
|
||||
keyword whole DEFAULT brightgreen
|
||||
keyword whole LOGFILE brightgreen
|
||||
keyword whole VERBOSE brightgreen
|
||||
keyword whole LOGABSTRACT brightgreen
|
||||
keyword whole LOG brightgreen
|
||||
keyword whole ORGMAIL brightgreen
|
||||
keyword whole LOCKFILE brightgreen
|
||||
keyword whole LOCKEXT brightgreen
|
||||
keyword whole LOCKSLEEP brightgreen
|
||||
keyword whole LOCKTIMEOUT brightgreen
|
||||
keyword whole TIMEOUT brightgreen
|
||||
keyword whole MSGPREFIX brightgreen
|
||||
keyword whole HOST brightgreen
|
||||
keyword whole UMASK brightgreen
|
||||
keyword whole SHELLMETAS brightgreen
|
||||
keyword whole SHELLFLAGS brightgreen
|
||||
keyword whole SENDMAIL brightgreen
|
||||
keyword whole SENDMAILFLAGS brightgreen
|
||||
keyword whole NORESRETRY brightgreen
|
||||
keyword whole SUSPEND brightgreen
|
||||
keyword whole LINEBUF brightgreen
|
||||
keyword whole DELIVERED brightgreen
|
||||
keyword whole TRAP brightgreen
|
||||
keyword whole EXITCODE brightgreen
|
||||
keyword whole LASTFOLDER brightgreen
|
||||
keyword whole MATCH brightgreen
|
||||
keyword whole SHIFT brightgreen
|
||||
keyword whole INCLUDERC brightmagenta
|
||||
keyword whole SWITCHRC brightgreen
|
||||
keyword whole PROCMAIL_VERSION brightgreen
|
||||
keyword whole PROCMAIL_OVERFLOW brightgreen
|
||||
keyword whole COMSAT brightgreen
|
||||
keyword whole DROPPRIVS brightgreen
|
||||
keyword whole LOGNAME brightgreen
|
||||
keyword whole HOME brightgreen
|
||||
keyword whole PATH brightgreen
|
||||
keyword whole SHELL brightgreen
|
||||
keyword whole MAILDIR brightgreen
|
||||
keyword whole DEFAULT brightgreen
|
||||
keyword whole LOGFILE brightgreen
|
||||
keyword whole VERBOSE brightgreen
|
||||
keyword whole LOGABSTRACT brightgreen
|
||||
keyword whole LOG brightgreen
|
||||
keyword whole ORGMAIL brightgreen
|
||||
keyword whole LOCKFILE brightgreen
|
||||
keyword whole LOCKEXT brightgreen
|
||||
keyword whole LOCKSLEEP brightgreen
|
||||
keyword whole LOCKTIMEOUT brightgreen
|
||||
keyword whole TIMEOUT brightgreen
|
||||
keyword whole MSGPREFIX brightgreen
|
||||
keyword whole HOST brightgreen
|
||||
keyword whole UMASK brightgreen
|
||||
keyword whole SHELLMETAS brightgreen
|
||||
keyword whole SHELLFLAGS brightgreen
|
||||
keyword whole SENDMAIL brightgreen
|
||||
keyword whole SENDMAILFLAGS brightgreen
|
||||
keyword whole NORESRETRY brightgreen
|
||||
keyword whole SUSPEND brightgreen
|
||||
keyword whole LINEBUF brightgreen
|
||||
keyword whole DELIVERED brightgreen
|
||||
keyword whole TRAP brightgreen
|
||||
keyword whole EXITCODE brightgreen
|
||||
keyword whole LASTFOLDER brightgreen
|
||||
keyword whole MATCH brightgreen
|
||||
keyword whole SHIFT brightgreen
|
||||
keyword whole INCLUDERC brightmagenta
|
||||
keyword whole SWITCHRC brightgreen
|
||||
keyword whole PROCMAIL_VERSION brightgreen
|
||||
keyword whole PROCMAIL_OVERFLOW brightgreen
|
||||
keyword whole COMSAT brightgreen
|
||||
keyword whole DROPPRIVS brightgreen
|
||||
keyword whole LOGNAME brightgreen
|
||||
keyword whole HOME brightgreen
|
||||
keyword whole PATH brightgreen
|
||||
keyword whole SHELL brightgreen
|
||||
|
||||
keyword whole ST brightgreen
|
||||
keyword whole STN brightgreen
|
||||
keyword whole NL brightgreen
|
||||
keyword whole ST brightgreen
|
||||
keyword whole STN brightgreen
|
||||
keyword whole NL brightgreen
|
||||
|
||||
##############################################################
|
||||
# Vars used by tdtools-procmail
|
||||
keyword TDTP_DIRPREFIX brightgreen
|
||||
keyword TDTP_INC brightgreen
|
||||
keyword TDTP_DIR brightgreen
|
||||
keyword TDTP_USE_SEPARATOR brightgreen
|
||||
keyword TDTP_SHOW_MSGINFO brightgreen
|
||||
keyword TDTP_USE_SERNO brightgreen
|
||||
keyword TDTP_SERNO_MAX brightgreen
|
||||
keyword TDTP_LEFT_MAX brightgreen
|
||||
keyword TDTP_SHOW_FILTERS brightgreen
|
||||
keyword TDTP_USE_TAG_N_DROP brightgreen
|
||||
keyword TDTP_HITS_MIN brightgreen
|
||||
keyword TDTP_USE_L10N brightgreen
|
||||
keyword TDTP_USE_MAILHEADERS brightgreen
|
||||
keyword TDTP_DATE_PREFIX brightgreen
|
||||
keyword TDTP_USE_LISTDIR brightgreen
|
||||
keyword TDTP_LISTDIR_SEPARATOR brightgreen
|
||||
keyword TDTP_USE_EXPURGATE_BULK brightgreen
|
||||
keyword TDTP_SPAM_AT_END brightgreen
|
||||
keyword TDTP_MAXSIZE brightgreen
|
||||
keyword TDTP_DIRPREFIX brightgreen
|
||||
keyword TDTP_INC brightgreen
|
||||
keyword TDTP_DIR brightgreen
|
||||
keyword TDTP_USE_SEPARATOR brightgreen
|
||||
keyword TDTP_SHOW_MSGINFO brightgreen
|
||||
keyword TDTP_USE_SERNO brightgreen
|
||||
keyword TDTP_SERNO_MAX brightgreen
|
||||
keyword TDTP_LEFT_MAX brightgreen
|
||||
keyword TDTP_SHOW_FILTERS brightgreen
|
||||
keyword TDTP_USE_TAG_N_DROP brightgreen
|
||||
keyword TDTP_HITS_MIN brightgreen
|
||||
keyword TDTP_USE_L10N brightgreen
|
||||
keyword TDTP_USE_MAILHEADERS brightgreen
|
||||
keyword TDTP_DATE_PREFIX brightgreen
|
||||
keyword TDTP_USE_LISTDIR brightgreen
|
||||
keyword TDTP_LISTDIR_SEPARATOR brightgreen
|
||||
keyword TDTP_USE_EXPURGATE_BULK brightgreen
|
||||
keyword TDTP_SPAM_AT_END brightgreen
|
||||
keyword TDTP_MAXSIZE brightgreen
|
||||
|
||||
keyword DATE_NOW_RFC brightgreen
|
||||
keyword DATE_NOW_SER brightgreen
|
||||
keyword FROM brightgreen
|
||||
keyword TO brightgreen
|
||||
keyword CC brightgreen
|
||||
keyword ENVTO brightgreen
|
||||
keyword SIZE brightgreen
|
||||
keyword DATE_DATE brightgreen
|
||||
keyword DATE_RFC brightgreen
|
||||
keyword DATE_SER brightgreen
|
||||
keyword DATE_RECV_RFC brightgreen
|
||||
keyword DATE_RECV_SER brightgreen
|
||||
keyword MSG_HOUR brightgreen
|
||||
keyword MSG_DAY brightgreen
|
||||
keyword MSG_WEEK brightgreen
|
||||
keyword MSG_MONTH brightgreen
|
||||
keyword MSG_YEAR brightgreen
|
||||
keyword TDTP_LISTDIR brightgreen
|
||||
keyword TDTP_NAME brightgreen
|
||||
keyword SPAMTAG brightgreen
|
||||
keyword FILTER brightgreen
|
||||
keyword TDTP_HITS_TOT brightgreen
|
||||
keyword DATE_NOW_RFC brightgreen
|
||||
keyword DATE_NOW_SER brightgreen
|
||||
keyword FROM brightgreen
|
||||
keyword TO brightgreen
|
||||
keyword CC brightgreen
|
||||
keyword ENVTO brightgreen
|
||||
keyword SIZE brightgreen
|
||||
keyword DATE_DATE brightgreen
|
||||
keyword DATE_RFC brightgreen
|
||||
keyword DATE_SER brightgreen
|
||||
keyword DATE_RECV_RFC brightgreen
|
||||
keyword DATE_RECV_SER brightgreen
|
||||
keyword MSG_HOUR brightgreen
|
||||
keyword MSG_DAY brightgreen
|
||||
keyword MSG_WEEK brightgreen
|
||||
keyword MSG_MONTH brightgreen
|
||||
keyword MSG_YEAR brightgreen
|
||||
keyword TDTP_LISTDIR brightgreen
|
||||
keyword TDTP_NAME brightgreen
|
||||
keyword SPAMTAG brightgreen
|
||||
keyword FILTER brightgreen
|
||||
keyword TDTP_HITS_TOT brightgreen
|
||||
|
||||
keyword whole linestart TDTP_L10N_* brightgreen
|
||||
keyword TDTP_L10N_LL_CC brightgreen
|
||||
keyword TDTP_L10N_LL brightgreen
|
||||
keyword TDTP_L10N_FILE brightgreen
|
||||
keyword whole linestart TDTP_L10N_* brightgreen
|
||||
keyword TDTP_L10N_LL_CC brightgreen
|
||||
keyword TDTP_L10N_LL brightgreen
|
||||
keyword TDTP_L10N_FILE brightgreen
|
||||
|
||||
keyword TDTP_EMAIL_NET brightgreen
|
||||
keyword TDTP_EMAIL_TDAUTOBUILDER brightgreen
|
||||
keyword TDTP_EMAIL_TDCONTROL brightgreen
|
||||
keyword TDTP_EMAIL_TDAUTODOWNLOADER brightgreen
|
||||
keyword TDTP_EMAIL_BUSINESS brightgreen
|
||||
keyword TDTP_EMAIL_BTS_DEBIAN brightgreen
|
||||
keyword TDTP_EMAIL_ML brightgreen
|
||||
keyword TDTP_EMAIL_PRI brightgreen
|
||||
keyword TDTP_EMAIL_NET brightgreen
|
||||
keyword TDTP_EMAIL_TDAUTOBUILDER brightgreen
|
||||
keyword TDTP_EMAIL_TDCONTROL brightgreen
|
||||
keyword TDTP_EMAIL_TDAUTODOWNLOADER brightgreen
|
||||
keyword TDTP_EMAIL_BUSINESS brightgreen
|
||||
keyword TDTP_EMAIL_BTS_DEBIAN brightgreen
|
||||
keyword TDTP_EMAIL_ML brightgreen
|
||||
keyword TDTP_EMAIL_PRI brightgreen
|
||||
|
||||
keyword tdmcRDATE brightgreen
|
||||
keyword tdmcSDATE brightgreen
|
||||
keyword tdmcNL brightgreen
|
||||
keyword tdmcRDATE brightgreen
|
||||
keyword tdmcSDATE brightgreen
|
||||
keyword tdmcNL brightgreen
|
||||
|
||||
keyword USEWNAME brightgreen
|
||||
keyword USEWLIST brightgreen
|
||||
keyword WLIST brightgreen
|
||||
keyword USEBNAME brightgreen
|
||||
keyword USEBLIST brightgreen
|
||||
keyword BLIST brightgreen
|
||||
keyword USETNAME brightgreen
|
||||
keyword USETLIST brightgreen
|
||||
keyword TLIST brightgreen
|
||||
keyword USEHNAME brightgreen
|
||||
keyword USEHLIST brightgreen
|
||||
keyword HLIST brightgreen
|
||||
keyword USEWNAME brightgreen
|
||||
keyword USEWLIST brightgreen
|
||||
keyword WLIST brightgreen
|
||||
keyword USEBNAME brightgreen
|
||||
keyword USEBLIST brightgreen
|
||||
keyword BLIST brightgreen
|
||||
keyword USETNAME brightgreen
|
||||
keyword USETLIST brightgreen
|
||||
keyword TLIST brightgreen
|
||||
keyword USEHNAME brightgreen
|
||||
keyword USEHLIST brightgreen
|
||||
keyword HLIST brightgreen
|
||||
|
||||
keyword RESULT brightgreen
|
||||
keyword PACKAGE brightgreen
|
||||
keyword SUB brightgreen
|
||||
keyword COUNT brightgreen
|
||||
keyword NEWMSG brightgreen
|
||||
keyword OLDMSG brightgreen
|
||||
keyword TMPMSG brightgreen
|
||||
keyword ADDR_ME brightgreen
|
||||
keyword ADDR_LISTS brightgreen
|
||||
keyword HIT brightgreen
|
||||
keyword STRING brightgreen
|
||||
keyword STRG brightgreen
|
||||
keyword SHOW_FILTER brightgreen
|
||||
keyword TMPSPAM brightgreen
|
||||
keyword RESULT brightgreen
|
||||
keyword PACKAGE brightgreen
|
||||
keyword SUB brightgreen
|
||||
keyword COUNT brightgreen
|
||||
keyword NEWMSG brightgreen
|
||||
keyword OLDMSG brightgreen
|
||||
keyword TMPMSG brightgreen
|
||||
keyword ADDR_ME brightgreen
|
||||
keyword ADDR_LISTS brightgreen
|
||||
keyword HIT brightgreen
|
||||
keyword STRING brightgreen
|
||||
keyword STRG brightgreen
|
||||
keyword SHOW_FILTER brightgreen
|
||||
keyword TMPSPAM brightgreen
|
||||
|
||||
##############################################################
|
||||
# Vars used by other recipes from the procmail mailinglist
|
||||
keyword PROCMAIL_DIR brightgreen
|
||||
keyword PROCMAILDIR brightgreen
|
||||
keyword PROCDIR brightgreen
|
||||
keyword OCTET brightgreen
|
||||
keyword DOTQUAD brightgreen
|
||||
keyword RCVD brightgreen
|
||||
keyword RCVD_COUNT brightgreen
|
||||
keyword FROMX brightgreen
|
||||
keyword RETX brightgreen
|
||||
keyword GPGFROM brightgreen
|
||||
keyword GPGGET brightgreen
|
||||
keyword GPGEXP brightgreen
|
||||
keyword EMAIL brightgreen
|
||||
keyword DUMMY_EXEC brightgreen
|
||||
keyword DUMMY brightgreen
|
||||
keyword TMPVAL brightgreen
|
||||
keyword TMPVAR brightgreen
|
||||
keyword HOMEDIR brightgreen
|
||||
keyword NULL brightgreen
|
||||
keyword whole H_From* brightgreen
|
||||
keyword PROCMAIL_DIR brightgreen
|
||||
keyword PROCMAILDIR brightgreen
|
||||
keyword PROCDIR brightgreen
|
||||
keyword OCTET brightgreen
|
||||
keyword DOTQUAD brightgreen
|
||||
keyword RCVD brightgreen
|
||||
keyword RCVD_COUNT brightgreen
|
||||
keyword FROMX brightgreen
|
||||
keyword RETX brightgreen
|
||||
keyword GPGFROM brightgreen
|
||||
keyword GPGGET brightgreen
|
||||
keyword GPGEXP brightgreen
|
||||
keyword EMAIL brightgreen
|
||||
keyword DUMMY_EXEC brightgreen
|
||||
keyword DUMMY brightgreen
|
||||
keyword TMPVAL brightgreen
|
||||
keyword TMPVAR brightgreen
|
||||
keyword HOMEDIR brightgreen
|
||||
keyword NULL brightgreen
|
||||
keyword whole H_From* brightgreen
|
||||
|
||||
keyword whole on red
|
||||
keyword whole true red
|
||||
keyword whole yes red
|
||||
keyword whole off red
|
||||
keyword whole false red
|
||||
keyword whole no red
|
||||
keyword whole all red
|
||||
keyword whole on red
|
||||
keyword whole true red
|
||||
keyword whole yes red
|
||||
keyword whole off red
|
||||
keyword whole false red
|
||||
keyword whole no red
|
||||
keyword whole all red
|
||||
|
||||
keyword whole :0*\n black magenta
|
||||
keyword whole \**\n cyan
|
||||
keyword whole \**\n cyan
|
||||
|
||||
keyword whole |*\n yellow
|
||||
keyword whole !*\n brightblue
|
||||
keyword whole |*\n yellow
|
||||
keyword whole !*\n brightblue
|
||||
|
||||
keyword ${*} brightgreen
|
||||
keyword ${*} brightgreen
|
||||
|
||||
keyword ` brightred
|
||||
keyword { brightcyan
|
||||
keyword } brightcyan
|
||||
keyword ` brightred
|
||||
keyword { brightcyan
|
||||
keyword } brightcyan
|
||||
|
||||
context " " green
|
||||
keyword ${*} brightgreen
|
||||
keyword ${*} brightgreen
|
||||
|
||||
context exclusive ` ` lightgrey black
|
||||
keyword '*' green
|
||||
keyword " green
|
||||
keyword \\` brightred
|
||||
keyword ; brightcyan
|
||||
keyword $(*) brightgreen
|
||||
keyword ${*} brightgreen
|
||||
keyword { brightcyan
|
||||
keyword } brightcyan
|
||||
keyword [ brightcyan
|
||||
keyword ] brightcyan
|
||||
keyword ( brightcyan
|
||||
keyword ) brightcyan
|
||||
keyword -eq brightcyan
|
||||
keyword -ne brightcyan
|
||||
keyword '*' green
|
||||
keyword " green
|
||||
keyword \\` brightred
|
||||
keyword ; brightcyan
|
||||
keyword $(*) brightgreen
|
||||
keyword ${*} brightgreen
|
||||
keyword { brightcyan
|
||||
keyword } brightcyan
|
||||
keyword [ brightcyan
|
||||
keyword ] brightcyan
|
||||
keyword ( brightcyan
|
||||
keyword ) brightcyan
|
||||
keyword -eq brightcyan
|
||||
keyword -ne brightcyan
|
||||
|
||||
keyword wholeright $+ brightgreen
|
||||
keyword $ brightgreen
|
||||
keyword wholeright $+ brightgreen
|
||||
keyword $ brightgreen
|
||||
|
||||
keyword >/dev/null brightblue
|
||||
keyword 2>&1 brightblue
|
||||
keyword 1>&2 brightblue
|
||||
keyword >/dev/null brightblue
|
||||
keyword 2>&1 brightblue
|
||||
keyword 1>&2 brightblue
|
||||
|
||||
keyword && brightblue
|
||||
keyword || brightblue
|
||||
keyword >> brightblue
|
||||
keyword && brightblue
|
||||
keyword || brightblue
|
||||
keyword >> brightblue
|
||||
|
||||
keyword ES=$? brightgreen
|
||||
keyword ES=$? brightgreen
|
||||
|
||||
wholechars abcdefghijklmnopqrstuvwxyzABCDEFGHIJKLMNOPQRSTUVWXYZ0123456789-._
|
||||
|
||||
keyword | cyan
|
||||
keyword whole --* cyan
|
||||
keyword whole -*\s cyan
|
||||
keyword whole \+* cyan
|
||||
keyword whole \** cyan
|
||||
keyword whole /* cyan
|
||||
keyword whole *: cyan
|
||||
keyword | cyan
|
||||
keyword whole --* cyan
|
||||
keyword whole -*\s cyan
|
||||
keyword whole \+* cyan
|
||||
keyword whole \** cyan
|
||||
keyword whole /* cyan
|
||||
keyword whole *: cyan
|
||||
|
||||
keyword whole bash cyan
|
||||
keyword whole bc cyan
|
||||
keyword whole cp cyan
|
||||
keyword whole cut cyan
|
||||
keyword whole date cyan
|
||||
keyword whole echo cyan
|
||||
keyword whole egrep cyan
|
||||
keyword whole env cyan
|
||||
keyword whole expand cyan
|
||||
keyword whole formail cyan
|
||||
keyword whole gpg cyan
|
||||
keyword whole grep cyan
|
||||
keyword whole head cyan
|
||||
keyword whole killall cyan
|
||||
keyword whole md5sum cyan
|
||||
keyword whole mimedecode cyan
|
||||
keyword whole mkdir cyan
|
||||
keyword whole mv cyan
|
||||
keyword whole rm cyan
|
||||
keyword whole sed cyan
|
||||
keyword whole sendmail cyan
|
||||
keyword whole sort cyan
|
||||
keyword whole tail cyan
|
||||
keyword whole tddebbtsd cyan
|
||||
keyword whole tdnetsound cyan
|
||||
keyword whole test cyan
|
||||
keyword whole touch cyan
|
||||
keyword whole tr cyan
|
||||
keyword whole wc cyan
|
||||
keyword whole which cyan
|
||||
keyword whole bash cyan
|
||||
keyword whole bc cyan
|
||||
keyword whole cp cyan
|
||||
keyword whole cut cyan
|
||||
keyword whole date cyan
|
||||
keyword whole echo cyan
|
||||
keyword whole egrep cyan
|
||||
keyword whole env cyan
|
||||
keyword whole expand cyan
|
||||
keyword whole formail cyan
|
||||
keyword whole gpg cyan
|
||||
keyword whole grep cyan
|
||||
keyword whole head cyan
|
||||
keyword whole killall cyan
|
||||
keyword whole md5sum cyan
|
||||
keyword whole mimedecode cyan
|
||||
keyword whole mkdir cyan
|
||||
keyword whole mv cyan
|
||||
keyword whole rm cyan
|
||||
keyword whole sed cyan
|
||||
keyword whole sendmail cyan
|
||||
keyword whole sort cyan
|
||||
keyword whole tail cyan
|
||||
keyword whole tddebbtsd cyan
|
||||
keyword whole tdnetsound cyan
|
||||
keyword whole test cyan
|
||||
keyword whole touch cyan
|
||||
keyword whole tr cyan
|
||||
keyword whole wc cyan
|
||||
keyword whole which cyan
|
||||
|
||||
keyword whole if yellow
|
||||
keyword whole then yellow
|
||||
keyword whole else yellow
|
||||
keyword whole fi yellow
|
||||
keyword whole for yellow
|
||||
keyword whole in yellow
|
||||
keyword whole do yellow
|
||||
keyword whole done yellow
|
||||
keyword whole if yellow
|
||||
keyword whole then yellow
|
||||
keyword whole else yellow
|
||||
keyword whole fi yellow
|
||||
keyword whole for yellow
|
||||
keyword whole in yellow
|
||||
keyword whole do yellow
|
||||
keyword whole done yellow
|
||||
|
@ -273,5 +273,3 @@ context ' ' green
|
||||
keyword \\\\ brightgreen
|
||||
keyword \\' brightgreen
|
||||
keyword \\\{abtnvfr\} brightgreen
|
||||
|
||||
|
||||
|
File diff suppressed because it is too large
Load Diff
@ -156,5 +156,3 @@ context ' ' brightcyan
|
||||
keyword \\" brightmagenta
|
||||
keyword \\\\ brightmagenta
|
||||
keyword \\' brightmagenta
|
||||
|
||||
|
||||
|
@ -1,43 +1,42 @@
|
||||
context default
|
||||
keyword access(*) green
|
||||
keyword execve(*) brightgreen
|
||||
keyword uname(*) brightblue
|
||||
keyword access(*) green
|
||||
keyword execve(*) brightgreen
|
||||
keyword uname(*) brightblue
|
||||
|
||||
keyword open(*) cyan
|
||||
keyword close(*) cyan
|
||||
keyword open(*) cyan
|
||||
keyword close(*) cyan
|
||||
|
||||
keyword read(*) magenta
|
||||
keyword select(*) magenta
|
||||
keyword write(*) magenta
|
||||
keyword read(*) magenta
|
||||
keyword select(*) magenta
|
||||
keyword write(*) magenta
|
||||
|
||||
keyword readv(*) brightmagenta
|
||||
keyword writev(*) brightmagenta
|
||||
keyword readv(*) brightmagenta
|
||||
keyword writev(*) brightmagenta
|
||||
|
||||
keyword fcntl64(*) white
|
||||
keyword fstat64(*) white
|
||||
keyword stat64(*) white
|
||||
keyword fcntl64(*) white
|
||||
keyword fstat64(*) white
|
||||
keyword stat64(*) white
|
||||
|
||||
keyword old_mmap(*) red
|
||||
keyword mmap2(*) red
|
||||
keyword munmap(*) red
|
||||
keyword old_mmap(*) red
|
||||
keyword mmap2(*) red
|
||||
keyword munmap(*) red
|
||||
|
||||
keyword exit_group(*) brightred
|
||||
keyword kill(*) brightred
|
||||
keyword wait4(*) brightred
|
||||
keyword statfs(*) brightred
|
||||
keyword ioctl(*) brightred
|
||||
keyword rt_sigaction(*) brightred
|
||||
keyword rt_sigprocmask(*) brightred
|
||||
keyword alarm(*) brightred
|
||||
keyword brk(*) brightred
|
||||
|
||||
keyword chown32(*) yellow
|
||||
keyword chmod(*) yellow
|
||||
keyword geteuid32(*) yellow
|
||||
keyword getegid32(*) yellow
|
||||
keyword getuid32(*) yellow
|
||||
keyword getgid32(*) yellow
|
||||
keyword setresuid32(*) yellow
|
||||
keyword setresgid32(*) yellow
|
||||
keyword gettimeofday(*) yellow
|
||||
keyword exit_group(*) brightred
|
||||
keyword kill(*) brightred
|
||||
keyword wait4(*) brightred
|
||||
keyword statfs(*) brightred
|
||||
keyword ioctl(*) brightred
|
||||
keyword rt_sigaction(*) brightred
|
||||
keyword rt_sigprocmask(*) brightred
|
||||
keyword alarm(*) brightred
|
||||
keyword brk(*) brightred
|
||||
|
||||
keyword chown32(*) yellow
|
||||
keyword chmod(*) yellow
|
||||
keyword geteuid32(*) yellow
|
||||
keyword getegid32(*) yellow
|
||||
keyword getuid32(*) yellow
|
||||
keyword getgid32(*) yellow
|
||||
keyword setresuid32(*) yellow
|
||||
keyword setresgid32(*) yellow
|
||||
keyword gettimeofday(*) yellow
|
||||
|
@ -157,5 +157,3 @@ context " " green
|
||||
keyword \\\\ brightgreen
|
||||
keyword \\' brightgreen
|
||||
keyword \\\{abtnvfr\} brightgreen
|
||||
|
||||
|
||||
|
@ -10,202 +10,202 @@ context default
|
||||
|
||||
|
||||
#Preprocessor keywords
|
||||
keyword whole `resetall brightred/
|
||||
keyword whole `define brightred/
|
||||
keyword whole `timescale brightred/
|
||||
keyword whole `ifdef brightred/
|
||||
keyword whole `else brightred/
|
||||
keyword whole `endif brightred/
|
||||
keyword whole `include brightred/
|
||||
keyword whole `signed brightred/
|
||||
keyword whole `unsigned brightred/
|
||||
keyword whole `celldefine brightred/
|
||||
keyword whole `endcelldefine brightred/
|
||||
keyword whole `default_nettype brightred/
|
||||
keyword whole `unconnected_drive brightred/
|
||||
keyword whole `nounconnected_drive brightred/
|
||||
keyword whole `accelerate brightred/
|
||||
keyword whole `noaccelerate brightred/
|
||||
keyword whole `protect brightred/
|
||||
keyword whole `endprotect brightred/
|
||||
keyword whole `protected brightred/
|
||||
keyword whole `endprotected brightred/
|
||||
keyword whole `expand_vectornets brightred/
|
||||
keyword whole `noexpand_vectornets brightred/
|
||||
keyword whole `autoexpand_vectornets brightred/
|
||||
keyword whole `remove_gatenames brightred/
|
||||
keyword whole `noremove_gatenames brightred/
|
||||
keyword whole `remove_netnames brightred/
|
||||
keyword whole `noremove_netnames brightred/
|
||||
keyword whole `resetall brightred/
|
||||
keyword whole `define brightred/
|
||||
keyword whole `timescale brightred/
|
||||
keyword whole `ifdef brightred/
|
||||
keyword whole `else brightred/
|
||||
keyword whole `endif brightred/
|
||||
keyword whole `include brightred/
|
||||
keyword whole `signed brightred/
|
||||
keyword whole `unsigned brightred/
|
||||
keyword whole `celldefine brightred/
|
||||
keyword whole `endcelldefine brightred/
|
||||
keyword whole `default_nettype brightred/
|
||||
keyword whole `unconnected_drive brightred/
|
||||
keyword whole `nounconnected_drive brightred/
|
||||
keyword whole `accelerate brightred/
|
||||
keyword whole `noaccelerate brightred/
|
||||
keyword whole `protect brightred/
|
||||
keyword whole `endprotect brightred/
|
||||
keyword whole `protected brightred/
|
||||
keyword whole `endprotected brightred/
|
||||
keyword whole `expand_vectornets brightred/
|
||||
keyword whole `noexpand_vectornets brightred/
|
||||
keyword whole `autoexpand_vectornets brightred/
|
||||
keyword whole `remove_gatenames brightred/
|
||||
keyword whole `noremove_gatenames brightred/
|
||||
keyword whole `remove_netnames brightred/
|
||||
keyword whole `noremove_netnames brightred/
|
||||
|
||||
|
||||
#Reserved Keywords 1
|
||||
keyword whole always yellow
|
||||
keyword whole and yellow
|
||||
keyword whole assign yellow
|
||||
keyword whole attribute yellow
|
||||
keyword whole begin yellow
|
||||
keyword whole buf yellow
|
||||
keyword whole bufif0 yellow
|
||||
keyword whole bufif1 yellow
|
||||
keyword whole case yellow
|
||||
keyword whole casex yellow
|
||||
keyword whole casez yellow
|
||||
keyword whole cmos yellow
|
||||
keyword whole deassign yellow
|
||||
keyword whole default yellow
|
||||
keyword whole defparam yellow
|
||||
keyword whole disable yellow
|
||||
keyword whole edge yellow
|
||||
keyword whole else yellow
|
||||
keyword whole end yellow
|
||||
keyword whole endattribute yellow
|
||||
keyword whole endcase yellow
|
||||
keyword whole endmodule yellow
|
||||
keyword whole endfunction yellow
|
||||
keyword whole endprimitive yellow
|
||||
keyword whole endspecify yellow
|
||||
keyword whole endtable yellow
|
||||
keyword whole endtask yellow
|
||||
keyword whole event yellow
|
||||
keyword whole for yellow
|
||||
keyword whole force yellow
|
||||
keyword whole forever yellow
|
||||
keyword whole fork yellow
|
||||
keyword whole function yellow
|
||||
keyword whole highz0 yellow
|
||||
keyword whole highz1 yellow
|
||||
keyword whole if yellow
|
||||
keyword whole initial yellow
|
||||
keyword whole inout yellow
|
||||
keyword whole input yellow
|
||||
keyword whole integer yellow
|
||||
keyword whole join yellow
|
||||
keyword whole large yellow
|
||||
keyword whole macromodule yellow
|
||||
keyword whole medium yellow
|
||||
keyword whole module yellow
|
||||
keyword whole nand yellow
|
||||
keyword whole negedge yellow
|
||||
keyword whole nmos yellow
|
||||
keyword whole nor yellow
|
||||
keyword whole not yellow
|
||||
keyword whole notif0 yellow
|
||||
keyword whole notif1 yellow
|
||||
keyword whole or yellow
|
||||
keyword whole output yellow
|
||||
keyword whole parameter yellow
|
||||
keyword whole pmos yellow
|
||||
keyword whole posedge yellow
|
||||
keyword whole primitive yellow
|
||||
keyword whole pull0 yellow
|
||||
keyword whole pull1 yellow
|
||||
keyword whole pullup yellow
|
||||
keyword whole pulldown yellow
|
||||
keyword whole rcmos yellow
|
||||
keyword whole reg yellow
|
||||
keyword whole release yellow
|
||||
keyword whole repeat yellow
|
||||
keyword whole rnmos yellow
|
||||
keyword whole rpmos yellow
|
||||
keyword whole rtran yellow
|
||||
keyword whole rtranif0 yellow
|
||||
keyword whole rtranif1 yellow
|
||||
keyword whole scalared yellow
|
||||
keyword whole small yellow
|
||||
keyword whole specify yellow
|
||||
keyword whole specparam yellow
|
||||
keyword whole strength yellow
|
||||
keyword whole strong0 yellow
|
||||
keyword whole strong1 yellow
|
||||
keyword whole supply0 yellow
|
||||
keyword whole supply1 yellow
|
||||
keyword whole table yellow
|
||||
keyword whole task yellow
|
||||
keyword whole time yellow
|
||||
keyword whole tran yellow
|
||||
keyword whole tranif0 yellow
|
||||
keyword whole tranif1 yellow
|
||||
keyword whole tri yellow
|
||||
keyword whole tri0 yellow
|
||||
keyword whole tri1 yellow
|
||||
keyword whole triand yellow
|
||||
keyword whole trior yellow
|
||||
keyword whole trireg yellow
|
||||
keyword whole use yellow
|
||||
keyword whole vectored yellow
|
||||
keyword whole wait yellow
|
||||
keyword whole wand yellow
|
||||
keyword whole weak0 yellow
|
||||
keyword whole weak1 yellow
|
||||
keyword whole while yellow
|
||||
keyword whole wire yellow
|
||||
keyword whole wor yellow
|
||||
keyword whole xnor yellow
|
||||
keyword whole xor yellow
|
||||
keyword whole always yellow
|
||||
keyword whole and yellow
|
||||
keyword whole assign yellow
|
||||
keyword whole attribute yellow
|
||||
keyword whole begin yellow
|
||||
keyword whole buf yellow
|
||||
keyword whole bufif0 yellow
|
||||
keyword whole bufif1 yellow
|
||||
keyword whole case yellow
|
||||
keyword whole casex yellow
|
||||
keyword whole casez yellow
|
||||
keyword whole cmos yellow
|
||||
keyword whole deassign yellow
|
||||
keyword whole default yellow
|
||||
keyword whole defparam yellow
|
||||
keyword whole disable yellow
|
||||
keyword whole edge yellow
|
||||
keyword whole else yellow
|
||||
keyword whole end yellow
|
||||
keyword whole endattribute yellow
|
||||
keyword whole endcase yellow
|
||||
keyword whole endmodule yellow
|
||||
keyword whole endfunction yellow
|
||||
keyword whole endprimitive yellow
|
||||
keyword whole endspecify yellow
|
||||
keyword whole endtable yellow
|
||||
keyword whole endtask yellow
|
||||
keyword whole event yellow
|
||||
keyword whole for yellow
|
||||
keyword whole force yellow
|
||||
keyword whole forever yellow
|
||||
keyword whole fork yellow
|
||||
keyword whole function yellow
|
||||
keyword whole highz0 yellow
|
||||
keyword whole highz1 yellow
|
||||
keyword whole if yellow
|
||||
keyword whole initial yellow
|
||||
keyword whole inout yellow
|
||||
keyword whole input yellow
|
||||
keyword whole integer yellow
|
||||
keyword whole join yellow
|
||||
keyword whole large yellow
|
||||
keyword whole macromodule yellow
|
||||
keyword whole medium yellow
|
||||
keyword whole module yellow
|
||||
keyword whole nand yellow
|
||||
keyword whole negedge yellow
|
||||
keyword whole nmos yellow
|
||||
keyword whole nor yellow
|
||||
keyword whole not yellow
|
||||
keyword whole notif0 yellow
|
||||
keyword whole notif1 yellow
|
||||
keyword whole or yellow
|
||||
keyword whole output yellow
|
||||
keyword whole parameter yellow
|
||||
keyword whole pmos yellow
|
||||
keyword whole posedge yellow
|
||||
keyword whole primitive yellow
|
||||
keyword whole pull0 yellow
|
||||
keyword whole pull1 yellow
|
||||
keyword whole pullup yellow
|
||||
keyword whole pulldown yellow
|
||||
keyword whole rcmos yellow
|
||||
keyword whole reg yellow
|
||||
keyword whole release yellow
|
||||
keyword whole repeat yellow
|
||||
keyword whole rnmos yellow
|
||||
keyword whole rpmos yellow
|
||||
keyword whole rtran yellow
|
||||
keyword whole rtranif0 yellow
|
||||
keyword whole rtranif1 yellow
|
||||
keyword whole scalared yellow
|
||||
keyword whole small yellow
|
||||
keyword whole specify yellow
|
||||
keyword whole specparam yellow
|
||||
keyword whole strength yellow
|
||||
keyword whole strong0 yellow
|
||||
keyword whole strong1 yellow
|
||||
keyword whole supply0 yellow
|
||||
keyword whole supply1 yellow
|
||||
keyword whole table yellow
|
||||
keyword whole task yellow
|
||||
keyword whole time yellow
|
||||
keyword whole tran yellow
|
||||
keyword whole tranif0 yellow
|
||||
keyword whole tranif1 yellow
|
||||
keyword whole tri yellow
|
||||
keyword whole tri0 yellow
|
||||
keyword whole tri1 yellow
|
||||
keyword whole triand yellow
|
||||
keyword whole trior yellow
|
||||
keyword whole trireg yellow
|
||||
keyword whole use yellow
|
||||
keyword whole vectored yellow
|
||||
keyword whole wait yellow
|
||||
keyword whole wand yellow
|
||||
keyword whole weak0 yellow
|
||||
keyword whole weak1 yellow
|
||||
keyword whole while yellow
|
||||
keyword whole wire yellow
|
||||
keyword whole wor yellow
|
||||
keyword whole xnor yellow
|
||||
keyword whole xor yellow
|
||||
|
||||
#Reserved Keywords 2
|
||||
|
||||
keyword whole $bitstoreal yellow
|
||||
keyword whole $countdrivers yellow
|
||||
keyword whole $display yellow
|
||||
keyword whole $dumpall yellow
|
||||
keyword whole $dumpfile yellow
|
||||
keyword whole $dumpflush yellow
|
||||
keyword whole $dumpoff yellow
|
||||
keyword whole $dumpon yellow
|
||||
keyword whole $dumpvars yellow
|
||||
keyword whole $fclose yellow
|
||||
keyword whole $fdisplay yellow
|
||||
keyword whole $finish yellow
|
||||
keyword whole $fmonitor yellow
|
||||
keyword whole $fopen yellow
|
||||
keyword whole $fstrobe yellow
|
||||
keyword whole $fwrite yellow
|
||||
keyword whole $getpattern yellow
|
||||
keyword whole $history yellow
|
||||
keyword whole $hold yellow
|
||||
keyword whole $incsave yellow
|
||||
keyword whole $input yellow
|
||||
keyword whole $itor yellow
|
||||
keyword whole $key yellow
|
||||
keyword whole $list yellow
|
||||
keyword whole $log yellow
|
||||
keyword whole $monitor yellow
|
||||
keyword whole $monitoroff yellow
|
||||
keyword whole $monitoron yellow
|
||||
keyword whole $nokey yellow
|
||||
keyword whole $nolog yellow
|
||||
keyword whole $period yellow
|
||||
keyword whole $printtimescale yellow
|
||||
keyword whole $readmemb yellow
|
||||
keyword whole $readmemh yellow
|
||||
keyword whole $realtime yellow
|
||||
keyword whole $realtobits yellow
|
||||
keyword whole $recovery yellow
|
||||
keyword whole $reset yellow
|
||||
keyword whole $reset_count yellow
|
||||
keyword whole $reset_value yellow
|
||||
keyword whole $restart yellow
|
||||
keyword whole $rtoi yellow
|
||||
keyword whole $save yellow
|
||||
keyword whole $scale yellow
|
||||
keyword whole $scope yellow
|
||||
keyword whole $setup yellow
|
||||
keyword whole $setuphold yellow
|
||||
keyword whole $showscopes yellow
|
||||
keyword whole $showvariables yellow
|
||||
keyword whole $showvars yellow
|
||||
keyword whole $skew yellow
|
||||
keyword whole $sreadmemb yellow
|
||||
keyword whole $sreadmemh yellow
|
||||
keyword whole $stime yellow
|
||||
keyword whole $stop yellow
|
||||
keyword whole $strobe yellow
|
||||
keyword whole $time yellow
|
||||
keyword whole $timeformat yellow
|
||||
keyword whole $width yellow
|
||||
keyword whole $write yellow
|
||||
keyword whole $bitstoreal yellow
|
||||
keyword whole $countdrivers yellow
|
||||
keyword whole $display yellow
|
||||
keyword whole $dumpall yellow
|
||||
keyword whole $dumpfile yellow
|
||||
keyword whole $dumpflush yellow
|
||||
keyword whole $dumpoff yellow
|
||||
keyword whole $dumpon yellow
|
||||
keyword whole $dumpvars yellow
|
||||
keyword whole $fclose yellow
|
||||
keyword whole $fdisplay yellow
|
||||
keyword whole $finish yellow
|
||||
keyword whole $fmonitor yellow
|
||||
keyword whole $fopen yellow
|
||||
keyword whole $fstrobe yellow
|
||||
keyword whole $fwrite yellow
|
||||
keyword whole $getpattern yellow
|
||||
keyword whole $history yellow
|
||||
keyword whole $hold yellow
|
||||
keyword whole $incsave yellow
|
||||
keyword whole $input yellow
|
||||
keyword whole $itor yellow
|
||||
keyword whole $key yellow
|
||||
keyword whole $list yellow
|
||||
keyword whole $log yellow
|
||||
keyword whole $monitor yellow
|
||||
keyword whole $monitoroff yellow
|
||||
keyword whole $monitoron yellow
|
||||
keyword whole $nokey yellow
|
||||
keyword whole $nolog yellow
|
||||
keyword whole $period yellow
|
||||
keyword whole $printtimescale yellow
|
||||
keyword whole $readmemb yellow
|
||||
keyword whole $readmemh yellow
|
||||
keyword whole $realtime yellow
|
||||
keyword whole $realtobits yellow
|
||||
keyword whole $recovery yellow
|
||||
keyword whole $reset yellow
|
||||
keyword whole $reset_count yellow
|
||||
keyword whole $reset_value yellow
|
||||
keyword whole $restart yellow
|
||||
keyword whole $rtoi yellow
|
||||
keyword whole $save yellow
|
||||
keyword whole $scale yellow
|
||||
keyword whole $scope yellow
|
||||
keyword whole $setup yellow
|
||||
keyword whole $setuphold yellow
|
||||
keyword whole $showscopes yellow
|
||||
keyword whole $showvariables yellow
|
||||
keyword whole $showvars yellow
|
||||
keyword whole $skew yellow
|
||||
keyword whole $sreadmemb yellow
|
||||
keyword whole $sreadmemh yellow
|
||||
keyword whole $stime yellow
|
||||
keyword whole $stop yellow
|
||||
keyword whole $strobe yellow
|
||||
keyword whole $time yellow
|
||||
keyword whole $timeformat yellow
|
||||
keyword whole $width yellow
|
||||
keyword whole $write yellow
|
||||
|
||||
|
||||
keyword > yellow
|
||||
|
@ -9,183 +9,183 @@ context default
|
||||
|
||||
#wholechars abcdefghijklmnopqrstuvwxyzABCDEFGHIJKLMNOPQRSTUVWXYZ_
|
||||
|
||||
keyword whole with yellow
|
||||
keyword whole select yellow
|
||||
keyword whole use yellow
|
||||
keyword whole is yellow
|
||||
keyword whole of yellow
|
||||
keyword whole length yellow
|
||||
keyword whole range yellow
|
||||
keyword whole left yellow
|
||||
keyword whole right yellow
|
||||
keyword whole delta yellow
|
||||
keyword whole return yellow
|
||||
keyword whole next yellow
|
||||
keyword whole null yellow
|
||||
keyword whole array yellow
|
||||
keyword whole downto yellow
|
||||
keyword whole to yellow
|
||||
keyword whole foreign yellow
|
||||
keyword whole with yellow
|
||||
keyword whole select yellow
|
||||
keyword whole use yellow
|
||||
keyword whole is yellow
|
||||
keyword whole of yellow
|
||||
keyword whole length yellow
|
||||
keyword whole range yellow
|
||||
keyword whole left yellow
|
||||
keyword whole right yellow
|
||||
keyword whole delta yellow
|
||||
keyword whole return yellow
|
||||
keyword whole next yellow
|
||||
keyword whole null yellow
|
||||
keyword whole array yellow
|
||||
keyword whole downto yellow
|
||||
keyword whole to yellow
|
||||
keyword whole foreign yellow
|
||||
|
||||
# comment
|
||||
keyword -- magenta
|
||||
keyword -- magenta
|
||||
|
||||
# expressions
|
||||
keyword := brightgreen
|
||||
keyword . brightgreen
|
||||
keyword ; brightgreen
|
||||
keyword : brightgreen
|
||||
keyword , brightgreen
|
||||
keyword ' brightgreen
|
||||
keyword | brightgreen
|
||||
keyword ( brightgreen
|
||||
keyword ) brightgreen
|
||||
keyword [ brightgreen
|
||||
keyword ] brightgreen
|
||||
keyword \+ brightgreen
|
||||
keyword - brightgreen
|
||||
keyword / brightgreen
|
||||
keyword \* brightgreen
|
||||
keyword \*\* brightgreen
|
||||
keyword # brightgreen
|
||||
keyword & brightgreen
|
||||
keyword => brightgreen
|
||||
keyword < brightgreen
|
||||
keyword > brightgreen
|
||||
keyword <= brightgreen
|
||||
keyword >= brightgreen
|
||||
keyword = brightgreen
|
||||
keyword /= brightgreen
|
||||
keyword := brightgreen
|
||||
keyword . brightgreen
|
||||
keyword ; brightgreen
|
||||
keyword : brightgreen
|
||||
keyword , brightgreen
|
||||
keyword ' brightgreen
|
||||
keyword | brightgreen
|
||||
keyword ( brightgreen
|
||||
keyword ) brightgreen
|
||||
keyword [ brightgreen
|
||||
keyword ] brightgreen
|
||||
keyword \+ brightgreen
|
||||
keyword - brightgreen
|
||||
keyword / brightgreen
|
||||
keyword \* brightgreen
|
||||
keyword \*\* brightgreen
|
||||
keyword # brightgreen
|
||||
keyword & brightgreen
|
||||
keyword => brightgreen
|
||||
keyword < brightgreen
|
||||
keyword > brightgreen
|
||||
keyword <= brightgreen
|
||||
keyword >= brightgreen
|
||||
keyword = brightgreen
|
||||
keyword /= brightgreen
|
||||
|
||||
# operators and functions
|
||||
keyword whole sll green
|
||||
keyword whole srl green
|
||||
keyword whole sla green
|
||||
keyword whole sra green
|
||||
keyword whole rol green
|
||||
keyword whole ror green
|
||||
keyword whole rem green
|
||||
keyword whole mod green
|
||||
keyword whole not green
|
||||
keyword whole and green
|
||||
keyword whole nand green
|
||||
keyword whole or green
|
||||
keyword whole xor green
|
||||
keyword whole nor green
|
||||
keyword whole xnor green
|
||||
keyword whole abs green
|
||||
keyword whole new green
|
||||
keyword whole sll green
|
||||
keyword whole srl green
|
||||
keyword whole sla green
|
||||
keyword whole sra green
|
||||
keyword whole rol green
|
||||
keyword whole ror green
|
||||
keyword whole rem green
|
||||
keyword whole mod green
|
||||
keyword whole not green
|
||||
keyword whole and green
|
||||
keyword whole nand green
|
||||
keyword whole or green
|
||||
keyword whole xor green
|
||||
keyword whole nor green
|
||||
keyword whole xnor green
|
||||
keyword whole abs green
|
||||
keyword whole new green
|
||||
|
||||
keyword whole begin yellow
|
||||
keyword whole end yellow
|
||||
keyword whole exit yellow
|
||||
keyword whole for yellow
|
||||
keyword whole while yellow
|
||||
keyword whole if yellow
|
||||
keyword whole then yellow
|
||||
keyword whole else yellow
|
||||
keyword whole elsif yellow
|
||||
keyword whole case yellow
|
||||
keyword whole when yellow
|
||||
keyword whole with yellow
|
||||
keyword whole select yellow
|
||||
keyword whole assert yellow
|
||||
keyword whole wait yellow
|
||||
keyword whole open yellow
|
||||
keyword whole loop yellow
|
||||
keyword whole until yellow
|
||||
keyword whole others yellow
|
||||
keyword whole all yellow
|
||||
keyword whole block yellow
|
||||
keyword whole guarded yellow
|
||||
keyword whole after yellow
|
||||
keyword whole transport yellow
|
||||
keyword whole inertial yellow
|
||||
keyword whole reject yellow
|
||||
keyword whole unaffected yellow
|
||||
keyword whole disconnect yellow
|
||||
keyword whole begin yellow
|
||||
keyword whole end yellow
|
||||
keyword whole exit yellow
|
||||
keyword whole for yellow
|
||||
keyword whole while yellow
|
||||
keyword whole if yellow
|
||||
keyword whole then yellow
|
||||
keyword whole else yellow
|
||||
keyword whole elsif yellow
|
||||
keyword whole case yellow
|
||||
keyword whole when yellow
|
||||
keyword whole with yellow
|
||||
keyword whole select yellow
|
||||
keyword whole assert yellow
|
||||
keyword whole wait yellow
|
||||
keyword whole open yellow
|
||||
keyword whole loop yellow
|
||||
keyword whole until yellow
|
||||
keyword whole others yellow
|
||||
keyword whole all yellow
|
||||
keyword whole block yellow
|
||||
keyword whole guarded yellow
|
||||
keyword whole after yellow
|
||||
keyword whole transport yellow
|
||||
keyword whole inertial yellow
|
||||
keyword whole reject yellow
|
||||
keyword whole unaffected yellow
|
||||
keyword whole disconnect yellow
|
||||
|
||||
# types and related stuff
|
||||
keyword whole integer cyan
|
||||
keyword whole natural cyan
|
||||
keyword whole positive cyan
|
||||
keyword whole string cyan
|
||||
keyword whole character cyan
|
||||
keyword whole boolean cyan
|
||||
keyword whole real cyan
|
||||
keyword whole bit cyan
|
||||
keyword whole bit_vector cyan
|
||||
keyword whole time cyan
|
||||
keyword whole units cyan
|
||||
keyword whole std_logic cyan
|
||||
keyword whole std_logic_vector cyan
|
||||
keyword whole severity_level cyan
|
||||
keyword whole integer cyan
|
||||
keyword whole natural cyan
|
||||
keyword whole positive cyan
|
||||
keyword whole string cyan
|
||||
keyword whole character cyan
|
||||
keyword whole boolean cyan
|
||||
keyword whole real cyan
|
||||
keyword whole bit cyan
|
||||
keyword whole bit_vector cyan
|
||||
keyword whole time cyan
|
||||
keyword whole units cyan
|
||||
keyword whole std_logic cyan
|
||||
keyword whole std_logic_vector cyan
|
||||
keyword whole severity_level cyan
|
||||
|
||||
# boolean values
|
||||
keyword whole true brightred
|
||||
keyword whole false brightred
|
||||
keyword whole true brightred
|
||||
keyword whole false brightred
|
||||
# time values
|
||||
keyword whole fs brightred
|
||||
keyword whole ps brightred
|
||||
keyword whole ns brightred
|
||||
keyword whole us brightred
|
||||
keyword whole ms brightred
|
||||
keyword whole sec brightred
|
||||
keyword whole min brightred
|
||||
keyword whole hr brightred
|
||||
keyword whole fs brightred
|
||||
keyword whole ps brightred
|
||||
keyword whole ns brightred
|
||||
keyword whole us brightred
|
||||
keyword whole ms brightred
|
||||
keyword whole sec brightred
|
||||
keyword whole min brightred
|
||||
keyword whole hr brightred
|
||||
|
||||
# declarations
|
||||
keyword whole type brightcyan
|
||||
keyword whole subtype brightcyan
|
||||
keyword whole type brightcyan
|
||||
keyword whole subtype brightcyan
|
||||
|
||||
keyword whole variable yellow
|
||||
keyword whole shared yellow
|
||||
keyword whole signal yellow
|
||||
keyword whole constant yellow
|
||||
keyword whole group yellow
|
||||
keyword whole file yellow
|
||||
keyword whole register yellow
|
||||
keyword whole variable yellow
|
||||
keyword whole shared yellow
|
||||
keyword whole signal yellow
|
||||
keyword whole constant yellow
|
||||
keyword whole group yellow
|
||||
keyword whole file yellow
|
||||
keyword whole register yellow
|
||||
|
||||
keyword whole port yellow
|
||||
keyword whole map yellow
|
||||
keyword whole label yellow
|
||||
keyword whole record yellow
|
||||
keyword whole generic yellow
|
||||
keyword whole alias yellow
|
||||
keyword whole attribute yellow
|
||||
keyword whole port yellow
|
||||
keyword whole map yellow
|
||||
keyword whole label yellow
|
||||
keyword whole record yellow
|
||||
keyword whole generic yellow
|
||||
keyword whole alias yellow
|
||||
keyword whole attribute yellow
|
||||
|
||||
# ports
|
||||
keyword whole in white
|
||||
keyword whole out white
|
||||
keyword whole inout white
|
||||
keyword whole buffer white
|
||||
keyword whole linkage white
|
||||
keyword whole bus white
|
||||
keyword whole in white
|
||||
keyword whole out white
|
||||
keyword whole inout white
|
||||
keyword whole buffer white
|
||||
keyword whole linkage white
|
||||
keyword whole bus white
|
||||
|
||||
# library units
|
||||
keyword whole library yellow
|
||||
keyword whole entity yellow
|
||||
keyword whole architecture yellow
|
||||
keyword whole package yellow
|
||||
keyword whole body yellow
|
||||
keyword whole procedure yellow
|
||||
keyword whole function yellow
|
||||
keyword whole pure yellow
|
||||
keyword whole impure yellow
|
||||
keyword whole configuration yellow
|
||||
keyword whole component yellow
|
||||
keyword whole generic yellow
|
||||
keyword whole process yellow
|
||||
keyword whole postponded yellow
|
||||
keyword whole generate yellow
|
||||
keyword whole library yellow
|
||||
keyword whole entity yellow
|
||||
keyword whole architecture yellow
|
||||
keyword whole package yellow
|
||||
keyword whole body yellow
|
||||
keyword whole procedure yellow
|
||||
keyword whole function yellow
|
||||
keyword whole pure yellow
|
||||
keyword whole impure yellow
|
||||
keyword whole configuration yellow
|
||||
keyword whole component yellow
|
||||
keyword whole generic yellow
|
||||
keyword whole process yellow
|
||||
keyword whole postponded yellow
|
||||
keyword whole generate yellow
|
||||
|
||||
# reports
|
||||
keyword whole report red
|
||||
keyword whole severity red
|
||||
keyword whole note red
|
||||
keyword whole warning red
|
||||
keyword whole error red
|
||||
keyword whole failure red
|
||||
keyword whole report red
|
||||
keyword whole severity red
|
||||
keyword whole note red
|
||||
keyword whole warning red
|
||||
keyword whole error red
|
||||
keyword whole failure red
|
||||
|
||||
# comment
|
||||
context exclusive -- \n magenta
|
||||
|
@ -136,5 +136,3 @@ context " " green
|
||||
keyword \\\\ brightgreen
|
||||
keyword \\' brightgreen
|
||||
keyword \\\{abtnvfr\} brightgreen
|
||||
|
||||
|
||||
|
Loading…
Reference in New Issue
Block a user