From 6351c4bc493934774688825f848d608a1da4b41e Mon Sep 17 00:00:00 2001 From: Purdea Andrei Date: Mon, 1 Jun 2020 21:13:10 +0300 Subject: [PATCH] verilog syntax: added IEEE1800-2005 missing keywords Signed-off-by: Andrew Borodin --- misc/syntax/verilog.syntax | 99 ++++++++++++++++++++++++++++++++++++++ 1 file changed, 99 insertions(+) diff --git a/misc/syntax/verilog.syntax b/misc/syntax/verilog.syntax index 46fc96179..38d8eecb1 100644 --- a/misc/syntax/verilog.syntax +++ b/misc/syntax/verilog.syntax @@ -169,6 +169,105 @@ context default #Reserved Keywords IEEE1364-2005 keyword whole uwire yellow +#Reserved Keywords IEEE1800-2005 + keyword whole alias yellow + keyword whole always_comb yellow + keyword whole always_ff yellow + keyword whole always_latch yellow + keyword whole assert yellow + keyword whole assume yellow + keyword whole before yellow + keyword whole bind yellow + keyword whole bins yellow + keyword whole binsof yellow + keyword whole bit yellow + keyword whole break yellow + keyword whole byte yellow + keyword whole chandle yellow + keyword whole class yellow + keyword whole clocking yellow + keyword whole const yellow + keyword whole constraint yellow + keyword whole context yellow + keyword whole continue yellow + keyword whole cover yellow + keyword whole covergroup yellow + keyword whole coverpoint yellow + keyword whole cross yellow + keyword whole dist yellow + keyword whole do yellow + keyword whole endclass yellow + keyword whole endclocking yellow + keyword whole endgroup yellow + keyword whole endinterface yellow + keyword whole endpackage yellow + keyword whole endprogram yellow + keyword whole endproperty yellow + keyword whole endsequence yellow + keyword whole enum yellow + keyword whole expect yellow + keyword whole export yellow + keyword whole extends yellow + keyword whole extern yellow + keyword whole final yellow + keyword whole first_match yellow + keyword whole foreach yellow + keyword whole forkjoin yellow + keyword whole iff yellow + keyword whole ignore_bins yellow + keyword whole illegal_bins yellow + keyword whole import yellow + keyword whole inside yellow + keyword whole int yellow + keyword whole interface yellow + keyword whole intersect yellow + keyword whole join_any yellow + keyword whole join_none yellow + keyword whole local yellow + keyword whole logic yellow + keyword whole longint yellow + keyword whole matches yellow + keyword whole modport yellow + keyword whole new yellow + keyword whole null yellow + keyword whole package yellow + keyword whole packed yellow + keyword whole priority yellow + keyword whole program yellow + keyword whole property yellow + keyword whole protected yellow + keyword whole pure yellow + keyword whole rand yellow + keyword whole randc yellow + keyword whole randcase yellow + keyword whole randsequence yellow + keyword whole ref yellow + keyword whole return yellow + keyword whole sequence yellow + keyword whole shortint yellow + keyword whole shortreal yellow + keyword whole solve yellow + keyword whole static yellow + keyword whole string yellow + keyword whole struct yellow + keyword whole super yellow + keyword whole tagged yellow + keyword whole this yellow + keyword whole throughout yellow + keyword whole timeprecision yellow + keyword whole timeunit yellow + keyword whole type yellow + keyword whole typedef yellow + keyword whole union yellow + keyword whole unique yellow + keyword whole var yellow + keyword whole virtual yellow + keyword whole void yellow + keyword whole wait_order yellow + keyword whole wildcard yellow + keyword whole with yellow + keyword whole within yellow + #Reserved Keywords 2 keyword whole $bitstoreal yellow