[build] Stage1 + Stage2

This commit is contained in:
Kevin Lange 2011-02-21 15:37:25 -06:00
parent 545627e1ad
commit 64bebd826a
1 changed files with 1 additions and 1 deletions

View File

@ -99,7 +99,7 @@ bootloader/stage2.bin: bootloader/stage2/main.o bootloader/stage2/start.o bootlo
@${ECHO} "\r\033[32;1m ld $<\033[0m"
testdisk: bootloader/stage1.bin bootloader/stage2.bin
cat bootloader/stage1.bin README.md > testdisk
cat bootloader/stage1.bin bootloader/stage2.bin > testdisk
###############
# clean #